O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
2Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
3Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
4Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
5Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
6Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
7Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
8Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
9Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
10Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
11The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
12Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
13Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
14Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
15Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
16Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
17Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
18Encapsulation method for atom probe tomography analysis of nanoparticles
19Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
20Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
21Radical Enhanced Atomic Layer Deposition of Metals and Oxides
22A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
23Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
24Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
25Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
26Patterned deposition by plasma enhanced spatial atomic layer deposition
27α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
28Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
29Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
30Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
31Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
32Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
33Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
34Very high frequency plasma reactant for atomic layer deposition
35Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
36Band alignment of Al2O3 with (-201) β-Ga2O3
37XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
38Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
39Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
40Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
41Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
42Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
43Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
44Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
45Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
46A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
47Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
48Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
49Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
50Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
51Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
52Trilayer Tunnel Selectors for Memristor Memory Cells
53Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
54Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
55Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
56Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
57Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
58Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
59Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
60Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
61Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
62Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
63Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
64Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
65Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
66Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
67Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
68Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
69Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
70Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
71Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
72Radical Enhanced Atomic Layer Deposition of Metals and Oxides
73Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
74Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
75Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
76Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
77Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
78Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
79Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
80Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
81Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
82Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
83Plasma-enhanced atomic layer deposition of zinc phosphate
84Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
85Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
86Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
87Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
88Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
89Top-down fabricated ZnO nanowire transistors for application in biosensors
90Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
91Innovative remote plasma source for atomic layer deposition for GaN devices
92Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
93Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
94High-Reflective Coatings For Ground and Space Based Applications
95Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
96On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
97Composite materials and nanoporous thin layers made by atomic layer deposition
98Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
99'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
100A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
101Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
102Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
103Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
104Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
105Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
106Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
107Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
108Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
109Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
110Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
111Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
112Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
113Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
114Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
115Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
116Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
117Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
118Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
119Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
120Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
121Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
122Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
123Lithium-Iron (III) Fluoride Battery with Double Surface Protection
124Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
125Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
126Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
127Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
128Sub-10-nm ferroelectric Gd-doped HfO2 layers
129Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
130Systematic efficiency study of line-doubled zone plates
131Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
132Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
133Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
134Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
135Plasma enhanced atomic layer deposition of Ga2O3 thin films
136Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
137Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
138Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
139PEALD ZrO2 Films Deposition on TiN and Si Substrates
140Hafnia and alumina on sulphur passivated germanium
141Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
142An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
143Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
144Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
145Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
146Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
147Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
148Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
149Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
150Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
151Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
152A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
153Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
154Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
155Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
156Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
157Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
158Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
159Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
160Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
161Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
162Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
163Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
164SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
165Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
166Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
167Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
168Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
169Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
170Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
171Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
172Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
173Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
174Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
175Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
176Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
177Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
178Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
179Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
180Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
181Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
182Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
183Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
184Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
185Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
186The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
187Fiber-matrix interface reinforcement using Atomic Layer Deposition
188Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
189Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
190Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
191Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
192Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
193Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
194Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
195Residual stress study of thin films deposited by atomic layer deposition
196Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
197Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
198Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
199Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
200In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
201Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
202In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
203Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
204Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
205ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
206Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
207The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
208Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
209Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
210Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
211In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
212Optical in situ monitoring of plasma-enhanced atomic layer deposition process
213High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
214Lithium-Iron (III) Fluoride Battery with Double Surface Protection
215The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
216Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
217Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
218Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
219Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
220Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
221Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
222Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
223Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
224Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
225Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
226Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
227Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
228Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
229Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
230Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
231Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
232Symmetrical Al2O3-based passivation layers for p- and n-type silicon
233Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
234Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
235Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
236Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
237Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
238Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
239Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
240Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
241Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
242Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
243Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
244Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
245Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
246Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
247XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
248TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
249Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
250ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
251Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
252Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
253Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
254Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
255Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
256Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
257Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
258Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
259Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
260MANOS performance dependence on ALD Al2O3 oxidation source
261Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
262Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
263Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
264Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
265Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
266Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
267In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
268In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
269High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
270Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
271Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
272Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
273Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
274Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
275Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
276Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
277Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
278Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
279High-efficiency embedded transmission grating
280Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
281High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
282Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
283Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
284Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
285In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
286Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
287Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
288Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
289Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
290Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
291Remote Plasma ALD of Platinum and Platinum Oxide Films
292Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
293Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
294Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
295Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
296Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
297Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
298Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
299Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
300Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
301Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
302Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
303Tuning size and coverage of Pd nanoparticles using atomic layer deposition
304Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
305Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
306The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
307Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
308Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
309Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
310Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
311Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
312Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
313Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
314Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
315Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
316Radical Enhanced Atomic Layer Deposition of Metals and Oxides
317Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
318Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
319Impact of interface materials on side permeation in indirect encapsulation of organic electronics
320Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
321Spectroscopy and control of near-surface defects in conductive thin film ZnO
322Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
323Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
324Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
325Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
326Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
327Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
328Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
329Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
330Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
331Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
332Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
333Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
3341D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
335Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
336IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
337Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
338Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
339High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
340MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
341Radical Enhanced Atomic Layer Deposition of Metals and Oxides
342Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
343Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
344Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
345Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
346Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
347Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
348Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
349Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
350Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
351Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
352Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
353Atomic Layer Deposition of the Conductive Delafossite PtCoO2
354Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
355Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
356Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
357DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
358Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
359N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
360Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
361A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
362Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
363Plasma-enhanced atomic layer deposition of BaTiO3
364New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
365Damage evaluation in graphene underlying atomic layer deposition dielectrics
366Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
367Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
368Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
369Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
370Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
371Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
372Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
373Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
374Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
375Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
376Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
377Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
378Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
379Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
380An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
381Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
382Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
383Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
384The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
385Modal properties of a strip-loaded horizontal slot waveguide
386Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
387Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
388Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
389Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
390Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
391Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
392Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
393Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
394Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
395Single-Cell Photonic Nanocavity Probes
396Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
397Propagation Effects in Carbon Nanoelectronics
398Residual stress study of thin films deposited by atomic layer deposition
399Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
400Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
401Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
402Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
403Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
404Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
405A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
406Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
407Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
408Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
409Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
410Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
411Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
412Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
413Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
414HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
415Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
416Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
417Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
418Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
419Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
420Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
421Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
422First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
423Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
424Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
425Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
426Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
427Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
428Characteristics of HfO2 thin films grown by plasma atomic layer deposition
429Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
430Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
431HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
432Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
433Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
434Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
435Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
436Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
437Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
438Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
439Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
440Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
441Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
442AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
443Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
444Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
445Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
446A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
447Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
448Oxygen migration in TiO2-based higher-k gate stacks
449Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
450Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
451Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
452Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
453Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
454Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
455Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
456Radical Enhanced Atomic Layer Deposition of Metals and Oxides
457Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
458Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
459Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
460Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
461ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
462Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
463Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
464Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
465Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
466Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
467Atomic layer deposition of YMnO3 thin films
468Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
469Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
470Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
471Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
472Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
473Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
474Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
475Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
476Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
477Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
478Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
479Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
480Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
481Radical Enhanced Atomic Layer Deposition of Metals and Oxides
482Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
483Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
484Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
485Impact of interface materials on side permeation in indirect encapsulation of organic electronics
486Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
487Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
488Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
489Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
490Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
491The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
492Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
493Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
494Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
495Trapped charge densities in Al2O3-based silicon surface passivation layers
496High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
497Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
498Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
499Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
500Mechanical properties of thin-film Parylene-metal-Parylene devices
501TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
502Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
503Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
504Experimental verification of electro-refractive phase modulation in graphene
505Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
506Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
507Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
508Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
509Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
510Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
511Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
512Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
513Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
514Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
515Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
516Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
517Capacitance spectroscopy of gate-defined electronic lattices
518Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
519Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
520Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
521Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
522In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
523Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
524AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
525An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
526Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
527Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
528Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
529Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
530Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
531Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
532Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
533High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
534Charge effects of ultrafine FET with nanodot type floating gate
535TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
536On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
537Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
538Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
539Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
540Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
541The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
542Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
543Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
544Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
545Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
546Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
547Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
548Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
549On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
550Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
551Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
552Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
553Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
554Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
555Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
556Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
557Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
558Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
559Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
560Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
561Energy-enhanced atomic layer deposition for more process and precursor versatility
562Atomic Layer Deposition of Gold Metal
563Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
564Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
565Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
566Transient characterization of the electroforming process in TiO2 based resistive switching devices
567Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
568A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
569Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
570Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
571Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
572An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
573Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
574Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
575Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
576Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
577Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
578Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
579The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
580Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
581Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
582Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
583Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
584Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
585Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
586Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
587Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
588Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
589Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
590Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
591Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
592Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
593Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
594The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
595Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
596Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
597Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
598Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
599Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
600RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
601Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
602Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
603Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
604Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
605Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
606Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
607Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
608High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
609Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
610Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
611Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
612Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
613Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
614Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
615Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
616Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
617On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
618Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
619Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
620Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
621The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
622Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
623Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
624Method of Fabrication for Encapsulated Polarizing Resonant Gratings
625Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
626Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
627Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
628PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
629Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
630Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
631Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
632Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
633Biofilm prevention on cochlear implants
634The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
635Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
636Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
637Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
638Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
639Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
640AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
641Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
642Flexible, light trapping substrates for organic photovoltaics
643The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
644Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
645Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
646Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
647Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
648Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
649Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
650Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
651Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
652Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
653Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
654Growth of silica nanowires in vacuum
655Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
656Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
657Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
658Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
659Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
660PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
661Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
662Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
663Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
664Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
665Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
666High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
667Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
668Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
669DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
670Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
671Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
672Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
673Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
674Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
675Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
676Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
677A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
678Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
679Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
680Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
681Energy-enhanced atomic layer deposition for more process and precursor versatility
682ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
683A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
684Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
685Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
686Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
687Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
688Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
689Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
690Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
691Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
692Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
693Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
694Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
695Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
696Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
697Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
698Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
699Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
700Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
701Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
702Passivation effects of atomic-layer-deposited aluminum oxide
703Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
704Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
705Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
706Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
707Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
708Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
709Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
710Room-Temperature Atomic Layer Deposition of Platinum
711Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
712High-Reflective Coatings For Ground and Space Based Applications
713Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
714Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
715ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
716Atomic layer deposition of metal-oxide thin films on cellulose fibers
717Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
718Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
719MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
720Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
721High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
722Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
723Dynamic tuning of plasmon resonance in the visible using graphene
724Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
725Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
726Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
727Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
728Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
729Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
730Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
731Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
732Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
733Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
734Low temperature temporal and spatial atomic layer deposition of TiO2 films
735Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
736Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
737Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
738Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
739Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
740Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
741Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
742Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
743Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
744Radical Enhanced Atomic Layer Deposition of Metals and Oxides
745Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
746Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
747Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
748Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
749Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
750Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
7513D structure evolution using metastable atomic layer deposition based on planar silver templates
752Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
753Plasma-enhanced atomic layer deposition of BaTiO3
754Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
755Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
756ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
757Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
758Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
759Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
760The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
761Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
762Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
763Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
764Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
765Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
766Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
767Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
768Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
769Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
770Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
771Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
772Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
773Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
774Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
775Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
776Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
777Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
778Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
779Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
780PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
781Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
782A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
783Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
78446-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
785Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
786Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
787Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
788Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
789Comparative study of ALD SiO2 thin films for optical applications
790Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
791Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
792Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
793Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
794On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
795Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
796Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
797Plasma-enhanced atomic layer deposition of BaTiO3
798Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
799Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
800Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
801Plasma enhanced atomic layer deposition of Fe2O3 thin films
802Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
803Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
804Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
805Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
806Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
807Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
808Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
809Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
810Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
811Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
812Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
813Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
814Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
815Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
816Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
817Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
818Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
819The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
820Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
821Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
822Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
823Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
824A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
825Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
826Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
827High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
828Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
829Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
830Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
831Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
832Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
833Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
834Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
835Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
836Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
837TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
838Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
839Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
840Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
841Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
842Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
843HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
844Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
845Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
846Breakdown and Protection of ALD Moisture Barrier Thin Films
847Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
848Optical and Electrical Properties of TixSi1-xOy Films
849Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
850Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
851In-gap states in titanium dioxide and oxynitride atomic layer deposited films
852Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
853Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
854Highly efficient and bending durable perovskite solar cells: toward a wearable power source
855Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
856Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
857Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
858Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
859Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
860Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
861Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
862Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
863Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
864Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
865Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
866Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
867ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
868Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
869Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
870Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
871Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
872Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
873X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
874Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
875Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
876Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
877Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
878Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
879Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
880Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
881Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
882Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
883On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
884Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
885Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
886Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
887The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
888Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
889Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
890Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
891Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
892Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
893Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
894Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
895Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
896Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
897Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
898Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
899Breakdown and Protection of ALD Moisture Barrier Thin Films
900Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
901Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
902Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
903Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
904Remote Plasma ALD of Platinum and Platinum Oxide Films
905Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
906Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
907Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
908Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
909Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
910Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
911Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
912Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
913Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
914Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
915Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
916Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
917Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
918Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
919High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
920Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
921High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
922Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
923Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
924The effects of layering in ferroelectric Si-doped HfO2 thin films
925Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
926Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
927Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
928Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
929Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
930Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
931Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
932Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
933Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
934Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
935Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
936Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
937Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
938Sub-7-nm textured ZrO2 with giant ferroelectricity
939Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
940Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
941On the equilibrium concentration of boron-oxygen defects in crystalline silicon
942Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
943Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
944Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
945Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
946Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
947Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
948Optical properties and bandgap evolution of ALD HfSiOx films
949Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
950In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
951Optimization of the Surface Structure on Black Silicon for Surface Passivation
952Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
953Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
954Optical display film as flexible and light trapping substrate for organic photovoltaics
955Optical properties and bandgap evolution of ALD HfSiOx films
956Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
957Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
958Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
959Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
960Advances in the fabrication of graphene transistors on flexible substrates
961Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
962Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
963Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
964Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
965Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
966Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
967Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
968Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
969Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
970Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
971Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
972Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
973PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
974Topographically selective deposition
975Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
976Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
977Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
978Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
979Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
980Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
981Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
982Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
983Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
984Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
985Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
986The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
987Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
988In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
989Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
990Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
991Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
992Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
993Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
994'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
995Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
996Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
997Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
998Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
999Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
1000Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
1001From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
1002Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
1003Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
1004Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
1005Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
1006Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1007Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
1008Optical properties and bandgap evolution of ALD HfSiOx films
1009Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
1010Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1011Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
1012Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
1013PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
1014Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
1015Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
1016Anti-stiction coating for mechanically tunable photonic crystal devices
1017Improved understanding of recombination at the Si/Al2O3 interface
1018Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
1019Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
1020The important role of water in growth of monolayer transition metal dichalcogenides
1021Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
1022Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
1023Damage evaluation in graphene underlying atomic layer deposition dielectrics
1024Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
1025Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
1026Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
1027Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
1028Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
1029Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
1030Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
1031Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
1032Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
1033Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
1034High-efficiency embedded transmission grating
1035Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
1036Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
1037Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
1038Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
1039Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
1040Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
1041Bipolar resistive switching in amorphous titanium oxide thin film
1042The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
1043Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
1044Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
1045Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
1046HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
1047Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
1048Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1049Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
1050Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
1051Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1052Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1053Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
1054Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1055Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
1056Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
1057Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
1058Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
1059Annealing behavior of ferroelectric Si-doped HfO2 thin films
1060AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
1061Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1062Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
1063Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
1064Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
1065Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
1066Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
1067Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
1068The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
1069Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
1070Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
1071All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
1072Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1073Study on the resistive switching time of TiO2 thin films
1074Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
1075Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
1076Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
1077Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
1078Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
1079On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
1080Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
1081Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
1082Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
1083Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
1084Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
1085Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
1086Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
1087Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
1088Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
1089Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
1090Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
1091Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
1092Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
1093Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
1094Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
1095In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
1096The important role of water in growth of monolayer transition metal dichalcogenides
1097Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
1098Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
1099Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
1100Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
1101Lithium-Iron (III) Fluoride Battery with Double Surface Protection
1102Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
1103Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
1104On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
1105Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1106Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
1107Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
1108In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
1109Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
1110The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
1111Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
1112Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
1113In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
1114Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
1115Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
1116Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
1117Densification of Thin Aluminum Oxide Films by Thermal Treatments
1118Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
1119In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1120Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
1121Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
1122Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
1123Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
1124Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
1125Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1126Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
1127Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
1128Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
1129Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
1130Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
1131Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
1132Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
1133Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
1134Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
1135Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
1136The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1137Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
1138Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
1139Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
1140Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
1141The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
1142Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
1143Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
1144On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
1145(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
1146Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
1147Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
1148Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
1149Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
1150Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
1151Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
1152Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
1153Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
1154Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
1155Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
1156Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
1157Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
1158Surface and sensing properties of PE-ALD SnO2 thin film
1159Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
1160Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
1161Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
1162Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
1163Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
1164Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
1165Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
1166The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1167A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
1168Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
1169Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
1170The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
1171Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
1172Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1173Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
1174Epitaxial 1D electron transport layers for high-performance perovskite solar cells
1175Hafnia and alumina on sulphur passivated germanium
1176In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
1177Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
1178Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
1179Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
1180Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
1181Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
1182Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
1183Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
1184Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
1185Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
1186Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
1187Gate Insulator for High Mobility Oxide TFT
1188A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
1189Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
1190Designing high performance precursors for atomic layer deposition of silicon oxide
1191Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
1192Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
1193Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
1194Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
1195Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
1196Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
1197Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
1198Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
1199Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
1200Energy-enhanced atomic layer deposition for more process and precursor versatility
1201Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
1202Flexible Memristive Memory Array on Plastic Substrates
1203Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
1204Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
1205Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
1206Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
1207Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
1208Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1209Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
1210Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
1211Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1212A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
1213Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
1214Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
1215Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
1216Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1217Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
1218Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
1219Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1220Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
1221Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
1222Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
1223Optical and Electrical Properties of AlxTi1-xO Films
1224All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
1225Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
1226Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
1227A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
1228Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
1229Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
1230Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
1231Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
1232Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1233Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
1234Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
1235Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
1236Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
1237Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
1238Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition