O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
2Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
3Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
4Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
5Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
6Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
7Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
8Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
9Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
10Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
11Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
12Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
13Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
14Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
15Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
16Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
17A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
18Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
19Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
20The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
21Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
22Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
23High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
24Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
25High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
26The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
27Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
28Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
29Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
30Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
31Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
32Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
33A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
34Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
35Radical Enhanced Atomic Layer Deposition of Metals and Oxides
36Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
37Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
38Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
39Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
40Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
41Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
42Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
43Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
44Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
45Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
46Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
47Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
48Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
49Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
50Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
51Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
52Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
53Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
54Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
55Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
56Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
57Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
58Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
59Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
60Lithium-Iron (III) Fluoride Battery with Double Surface Protection
61Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
62Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
63Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
64Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
65Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
66Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
67Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
68Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
69Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
70Damage evaluation in graphene underlying atomic layer deposition dielectrics
71Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
72Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
73Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
74Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
75Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
76Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
77Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
78Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
79Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
80Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
81A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
82Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
83Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
84Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
85Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
86α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
87Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
88Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
89Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
90Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
91Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
92Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
93Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
94Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
95Hafnia and alumina on sulphur passivated germanium
96Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
97High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
98SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
99Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
100Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
101Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
102Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
103PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
104Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
105HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
106Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
107Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
108Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
109Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
110Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
111Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
112Highly efficient and bending durable perovskite solar cells: toward a wearable power source
113Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
114Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
115Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
116Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
117Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
118Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
119Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
120Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
121Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
122Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
123Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
124Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
125Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
126Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
127Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
128Optical properties and bandgap evolution of ALD HfSiOx films
129Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
130Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
131Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
132Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
133Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
134Characteristics of HfO2 thin films grown by plasma atomic layer deposition
135Annealing behavior of ferroelectric Si-doped HfO2 thin films
136Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
137An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
138Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
139Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
140Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
141Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
142Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
143Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
144Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
145Hafnia and alumina on sulphur passivated germanium
146Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
147Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
148Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
149Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
150Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
151Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
152Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
153Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
154X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
155Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
156Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
157Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
158Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
159Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
160Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
161Plasma-enhanced atomic layer deposition of zinc phosphate
162Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
163Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
164Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
165Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
166Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
167Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
168Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
169Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
170Method of Fabrication for Encapsulated Polarizing Resonant Gratings
171Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
172Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
173Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
174Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
175Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
176Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
177Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
178Breakdown and Protection of ALD Moisture Barrier Thin Films
179Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
180Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
181Mechanical properties of thin-film Parylene-metal-Parylene devices
182Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
183Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
184Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
185Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
186Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
187Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
188Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
189Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
190Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
191Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
192Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
193Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
194Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
195Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
196Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
197Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
198Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
199Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
200Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
201Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
202Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
203Surface and sensing properties of PE-ALD SnO2 thin film
204Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
205Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
206Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
207Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
208Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
209Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
210Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
211Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
212Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
213Study on the resistive switching time of TiO2 thin films
214Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
215Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
216Composite materials and nanoporous thin layers made by atomic layer deposition
217Experimental verification of electro-refractive phase modulation in graphene
218Plasma-enhanced atomic layer deposition of BaTiO3
219Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
220Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
221Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
222Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
223Very high frequency plasma reactant for atomic layer deposition
224Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
225DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
226High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
227Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
228Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
229Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
230A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
231Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
232Modal properties of a strip-loaded horizontal slot waveguide
233Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
234Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
235Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
236Impact of interface materials on side permeation in indirect encapsulation of organic electronics
237Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
238Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
239Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
240Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
241Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
242Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
243Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
244Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
245Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
246Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
247Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
248Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
249Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
250Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
251Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
252Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
253Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
254Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
255Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
256Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
257Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
258Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
259Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
260ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
261Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
262ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
263Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
264Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
265Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
266Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
267A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
268Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
269Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
270Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
271Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
272Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
273Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
274Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
275Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
276In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
277A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
278Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
279Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
280IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
281Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
282Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
283Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
284Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
285The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
286Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
287Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
288Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
289Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
290Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
291Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
292Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
293Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
294Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
295Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
296Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
297Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
298HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
299Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
300Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
301Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
302Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
303Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
304Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
305Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
306Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
307Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
308Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
309Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
310The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
311On the equilibrium concentration of boron-oxygen defects in crystalline silicon
312Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
313(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
314Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
315Single-Cell Photonic Nanocavity Probes
316'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
317Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
318Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
319Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
320Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
321The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
322Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
323Symmetrical Al2O3-based passivation layers for p- and n-type silicon
324Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
325Passivation effects of atomic-layer-deposited aluminum oxide
326Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
327Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
328Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
329Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
330Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
331Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
332Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
333Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
334Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
335A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
336Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
337Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
338Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
339Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
340Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
341Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
342Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
343Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
344Breakdown and Protection of ALD Moisture Barrier Thin Films
345Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
346Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
347Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
348Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
349Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
350Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
351Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
352Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
353Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
354Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
355Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
356Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
357A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
358Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
359Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
360Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
361Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
362Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
363Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
364Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
365Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
366The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
367Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
368Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
369Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
370Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
371Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
372Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
373Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
374Optical display film as flexible and light trapping substrate for organic photovoltaics
375Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
376Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
377Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
378High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
379The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
380Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
381Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
382Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
383Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
384Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
385Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
386Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
387Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
388Atomic layer deposition of YMnO3 thin films
389Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
390Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
391Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
392Advances in the fabrication of graphene transistors on flexible substrates
393Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
394Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
395Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
396Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
397The effects of layering in ferroelectric Si-doped HfO2 thin films
398Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
399Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
400Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
401Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
402Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
403Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
404Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
405Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
406Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
407Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
408Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
409Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
410Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
411Fiber-matrix interface reinforcement using Atomic Layer Deposition
412Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
413Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
414Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
415Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
416Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
417Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
418Radical Enhanced Atomic Layer Deposition of Metals and Oxides
419Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
420Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
421Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
422Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
423Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
424Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
425Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
426The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
427Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
428Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
429Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
430High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
431Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
432PEALD ZrO2 Films Deposition on TiN and Si Substrates
433Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
434Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
435The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
436Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
437Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
438Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
439Lithium-Iron (III) Fluoride Battery with Double Surface Protection
440Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
441Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
442Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
443Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
444Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
445The important role of water in growth of monolayer transition metal dichalcogenides
446Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
447Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
448Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
449Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
450Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
451Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
452Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
453Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
454Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
455Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
456Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
457Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
458Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
459Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
460Topographically selective deposition
461Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
462Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
463Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
464Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
465Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
466Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
467High-Reflective Coatings For Ground and Space Based Applications
468Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
469Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
470Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
471Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
472Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
473Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
474Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
475Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
476Residual stress study of thin films deposited by atomic layer deposition
477A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
478On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
479Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
480Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
481Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
482Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
483Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
484Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
485TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
486Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
487Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
488Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
489Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
490Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
491TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
492Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
493Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
494A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
495Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
496Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
497An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
498Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
499Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
500Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
501Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
502Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
503Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
504Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
505Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
506Lithium-Iron (III) Fluoride Battery with Double Surface Protection
507Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
508Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
509Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
510Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
511Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
512The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
513Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
514Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
515Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
516Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
517A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
518Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
519Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
520Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
521Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
522Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
523AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
524Room-Temperature Atomic Layer Deposition of Platinum
525Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
526Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
527Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
528Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
529Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
530Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
531Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
532XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
533Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
534Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
535In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
536Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
537Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
538Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
539Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
540Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
541Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
542In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
543Biofilm prevention on cochlear implants
544Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
545Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
546Spectroscopy and control of near-surface defects in conductive thin film ZnO
547Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
548Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
549Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
550Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
551Atomic Layer Deposition of the Conductive Delafossite PtCoO2
552Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
553A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
554Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
555Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
556Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
557Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
558Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
559Optical and Electrical Properties of TixSi1-xOy Films
560Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
561Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
562Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
563Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
564Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
565Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
566Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
567Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
568Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
569PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
570In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
571Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
572Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
573Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
574Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
575Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
576Flexible, light trapping substrates for organic photovoltaics
577Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
578Trilayer Tunnel Selectors for Memristor Memory Cells
579Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
580Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
581Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
582High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
583Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
584Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
585Tuning size and coverage of Pd nanoparticles using atomic layer deposition
586Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
587Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
588ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
589Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
590Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
591Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
592Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
593Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
594Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
595Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
596Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
597Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
598Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
599Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
600Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
601Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
602Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
603Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
604Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
605Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
606Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
607Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
608Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
609Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
610Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
611Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
612Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
613Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
614Growth of silica nanowires in vacuum
615Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
616Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
617Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
618Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
619Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
620Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
621Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
622The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
623Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
624Radical Enhanced Atomic Layer Deposition of Metals and Oxides
625Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
626Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
627Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
628Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
629Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
630Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
631Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
632Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
633Energy-enhanced atomic layer deposition for more process and precursor versatility
634Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
635Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
636Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
637Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
638Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
639Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
640Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
641Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
642Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
643Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
644Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
645Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
646Bipolar resistive switching in amorphous titanium oxide thin film
647Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
648Designing high performance precursors for atomic layer deposition of silicon oxide
649Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
650Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
651Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
652Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
653Comparative study of ALD SiO2 thin films for optical applications
654Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
655Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
656Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
657Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
658Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
659Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
660Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
661Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
662Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
663Atomic Layer Deposition of Gold Metal
664Optical properties and bandgap evolution of ALD HfSiOx films
665Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
666Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
667Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
668Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
669Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
670Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
671Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
672In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
673Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
674Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
675Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
676Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
677Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
678Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
679Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
680The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
681N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
682Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
6831D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
684ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
685Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
686Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
687Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
688Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
689Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
690Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
691Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
692TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
693Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
694Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
695Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
696Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
697Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
698Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
699Anti-stiction coating for mechanically tunable photonic crystal devices
700Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
701Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
702Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
703Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
704AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
705AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
706Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
707Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
708Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
709Energy-enhanced atomic layer deposition for more process and precursor versatility
710Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
711Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
712Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
713Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
714Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
715Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
716Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
717PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
71846-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
719Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
720Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
721On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
722Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
723'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
724Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
725Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
726Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
727Plasma enhanced atomic layer deposition of Fe2O3 thin films
728Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
729Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
730Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
731Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
732Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
733Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
734Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
735Radical Enhanced Atomic Layer Deposition of Metals and Oxides
736Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
737Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
738ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
739Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
740Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
741Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
742Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
743Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
744Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
745Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
746Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
747Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
748The important role of water in growth of monolayer transition metal dichalcogenides
749Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
750Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
751Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
752Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
753Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
754Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
755Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
756Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
757Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
758Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
759Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
760Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
761Top-down fabricated ZnO nanowire transistors for application in biosensors
762High-efficiency embedded transmission grating
763Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
764Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
765Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
766Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
767Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
768High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
769Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
770Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
771Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
772Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
773Residual stress study of thin films deposited by atomic layer deposition
774Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
775Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
776Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
777Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
778Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
779Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
780Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
781Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
782Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
783All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
784Plasma enhanced atomic layer deposition of Ga2O3 thin films
785Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
786The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
787Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
788Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
789Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
790Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
791Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
792Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
793Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
794Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
795Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
796Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
797In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
798Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
799Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
800Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
801Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
802Patterned deposition by plasma enhanced spatial atomic layer deposition
803Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
804Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
805Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
806Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
807Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
808Improved understanding of recombination at the Si/Al2O3 interface
809Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
810Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
811Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
812On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
813Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
814Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
815Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
816Impact of interface materials on side permeation in indirect encapsulation of organic electronics
817Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
818Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
819Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
820Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
821In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
822Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
823Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
824A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
825Encapsulation method for atom probe tomography analysis of nanoparticles
826In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
827Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
828Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
829Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
830Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
831Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
832Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
833On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
834Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
835Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
836Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
837Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
838Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
839PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
840Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
841Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
842Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
843Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
844The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
845Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
846A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
847Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
848Optimization of the Surface Structure on Black Silicon for Surface Passivation
849Capacitance spectroscopy of gate-defined electronic lattices
850Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
851Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
852Low temperature temporal and spatial atomic layer deposition of TiO2 films
853Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
854In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
855Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
856Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
857High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
858Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
859New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
860Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
861Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
862Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
863The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
864Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
865Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
866Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
867Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
868Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
869MANOS performance dependence on ALD Al2O3 oxidation source
870Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
871Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
872Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
873In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
874Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
875Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
876High-efficiency embedded transmission grating
877Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
878Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
879Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
880Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
881Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
882Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
883Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
884Remote Plasma ALD of Platinum and Platinum Oxide Films
885Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
886Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
887All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
888Optical and Electrical Properties of AlxTi1-xO Films
889Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
890Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
891Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
892Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
893Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
894Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
895Plasma-enhanced atomic layer deposition of BaTiO3
896Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
897Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
898Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
899Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
9003D structure evolution using metastable atomic layer deposition based on planar silver templates
901Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
902Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
903Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
904The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
905Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
906TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
907Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
908Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
909Flexible Memristive Memory Array on Plastic Substrates
910Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
911Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
912Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
913Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
914Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
915Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
916Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
917AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
918PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
919Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
920Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
921Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
922Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
923Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
924Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
925Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
926Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
927RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
928The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
929Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
930Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
931Radical Enhanced Atomic Layer Deposition of Metals and Oxides
932Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
933Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
934ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
935Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
936Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
937A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
938Systematic efficiency study of line-doubled zone plates
939Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
940Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
941Radical Enhanced Atomic Layer Deposition of Metals and Oxides
942Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
943MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
944From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
945Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
946Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
947Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
948Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
949Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
950Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
951Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
952Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
953Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
954Densification of Thin Aluminum Oxide Films by Thermal Treatments
955Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
956Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
957Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
958Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
959Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
960Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
961Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
962Epitaxial 1D electron transport layers for high-performance perovskite solar cells
963Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
964Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
965Plasma-enhanced atomic layer deposition of BaTiO3
966HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
967Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
968Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
969Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
970On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
971Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
972Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
973Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
974Radical Enhanced Atomic Layer Deposition of Metals and Oxides
975Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
976Innovative remote plasma source for atomic layer deposition for GaN devices
977Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
978Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
979Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
980Damage evaluation in graphene underlying atomic layer deposition dielectrics
981On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
982Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
983Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
984Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
985Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
986Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
987Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
988Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
989On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
990Atomic layer deposition of metal-oxide thin films on cellulose fibers
991In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
992Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
993Sub-7-nm textured ZrO2 with giant ferroelectricity
994Gate Insulator for High Mobility Oxide TFT
995In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
996An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
997Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
998Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
999Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
1000Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
1001Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
1002Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
1003Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
1004Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
1005Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1006Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
1007Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
1008Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
1009Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
1010Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
1011Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
1012Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
1013Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
1014Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
1015Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
1016Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
1017Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
1018Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
1019Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
1020Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
1021Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
1022Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
1023The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
1024Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
1025Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
1026Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
1027Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
1028In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1029Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
1030Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
1031Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
1032Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
1033Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
1034Remote Plasma ALD of Platinum and Platinum Oxide Films
1035Optical properties and bandgap evolution of ALD HfSiOx films
1036Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
1037Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
1038Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
1039Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1040Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
1041Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
1042Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
1043Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
1044XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
1045MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
1046Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
1047Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
1048Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
1049Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
1050Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
1051Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
1052Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
1053Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
1054Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
1055Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
1056Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
1057Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
1058Trapped charge densities in Al2O3-based silicon surface passivation layers
1059Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
1060Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
1061Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
1062Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
1063Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
1064Propagation Effects in Carbon Nanoelectronics
1065Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
1066Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
1067Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
1068Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
1069Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
1070Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
1071Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
1072Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
1073Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
1074Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
1075Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
1076Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
1077Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
1078Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1079Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
1080Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
1081Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
1082Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
1083Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
1084Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
1085Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
1086Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
1087Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
1088Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
1089In-gap states in titanium dioxide and oxynitride atomic layer deposited films
1090An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
1091Charge effects of ultrafine FET with nanodot type floating gate
1092Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
1093Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
1094Sub-10-nm ferroelectric Gd-doped HfO2 layers
1095Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1096Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
1097Energy-enhanced atomic layer deposition for more process and precursor versatility
1098ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
1099Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
1100Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
1101Transient characterization of the electroforming process in TiO2 based resistive switching devices
1102Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
1103Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
1104Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
1105Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
1106Oxygen migration in TiO2-based higher-k gate stacks
1107Band alignment of Al2O3 with (-201) β-Ga2O3
1108Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
1109Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
1110Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
1111Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
1112Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
1113On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
1114Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
1115Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
1116Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
1117Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
1118Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
1119Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
1120Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
1121Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
1122The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
1123Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
1124Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
1125Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
1126Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
1127Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
1128Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
1129Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1130Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
1131Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
1132Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
1133Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
1134Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
1135Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
1136Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
1137Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
1138Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
1139Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
1140DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
1141Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
1142Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
1143Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
1144Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1145Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
1146Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
1147Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
1148Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
1149The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
1150On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
1151Optical in situ monitoring of plasma-enhanced atomic layer deposition process
1152Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
1153Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
1154Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
1155Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
1156Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
1157Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
1158Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
1159Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
1160Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
1161Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
1162Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1163Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
1164Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
1165Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
1166Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
1167First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
1168Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
1169Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
1170Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
1171Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
1172Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
1173Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
1174Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
1175Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
1176High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
1177Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
1178Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
1179The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
1180Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
1181Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
1182Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
1183Dynamic tuning of plasmon resonance in the visible using graphene
1184Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
1185HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
1186Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
1187Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
1188High-Reflective Coatings For Ground and Space Based Applications
1189Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
1190Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
1191Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
1192Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
1193The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1194The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1195Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
1196Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
1197Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
1198Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
1199Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
1200A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
1201Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
1202In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
1203The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1204Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
1205Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1206Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
1207Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
1208Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
1209Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
1210Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
1211Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
1212Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1213Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
1214High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
1215Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
1216Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
1217Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
1218Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
1219Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
1220Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
1221Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
1222Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
1223Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
1224Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
1225Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
1226Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
1227High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
1228Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1229Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
1230Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
1231Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
1232Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1233Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
1234Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
1235Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1236Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
1237Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
1238Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films