O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
2Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
3Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
4Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
5Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
6Flexible, light trapping substrates for organic photovoltaics
7Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
8Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
9Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
10Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
11Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
12Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
13Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
14AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
15Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
16Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
17Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
18Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
19Radical Enhanced Atomic Layer Deposition of Metals and Oxides
20The important role of water in growth of monolayer transition metal dichalcogenides
21Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
22All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
23Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
24Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
25Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
26Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
27Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
28Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
29Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
30Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
31Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
32Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
33Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
34Experimental verification of electro-refractive phase modulation in graphene
35Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
36Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
37High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
38Comparative study of ALD SiO2 thin films for optical applications
39Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
40Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
41Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
42Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
43Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
44(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
45Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
46Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
47HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
48Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
49Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
50Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
51Plasma-enhanced atomic layer deposition of BaTiO3
52Optical properties and bandgap evolution of ALD HfSiOx films
53Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
54Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
55Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
56Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
57High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
58Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
59Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
60Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
61Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
62Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
63Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
64XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
65Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
66Mechanical properties of thin-film Parylene-metal-Parylene devices
67Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
68Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
69Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
70Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
71Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
72Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
73Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
74Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
75Atomic layer deposition of metal-oxide thin films on cellulose fibers
76Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
77Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
78Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
79Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
80Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
81Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
82Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
83Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
84Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
85Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
86Plasma enhanced atomic layer deposition of Fe2O3 thin films
87In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
88Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
89Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
90Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
91Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
92Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
93Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
94Annealing behavior of ferroelectric Si-doped HfO2 thin films
95Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
96Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
97Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
98TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
99Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
100Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
101Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
102Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
103Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
104PEALD ZrO2 Films Deposition on TiN and Si Substrates
105Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
106Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
107Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
108Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
109Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
110Atomic Layer Deposition of the Conductive Delafossite PtCoO2
111Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
112Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
113Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
114Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
115Dynamic tuning of plasmon resonance in the visible using graphene
116Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
117Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
118Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
119In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
120Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
121Epitaxial 1D electron transport layers for high-performance perovskite solar cells
122Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
123Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
124In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
125Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
126Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
127Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
128Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
129On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
130Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
131Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
132Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
133Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
134Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
135Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
136Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
137Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
138Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
139Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
140Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
141Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
142Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
143Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
144Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
145Growth of silica nanowires in vacuum
146Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
147The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
148A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
149Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
150Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
151Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
152Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
153Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
154Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
155Modal properties of a strip-loaded horizontal slot waveguide
156Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
157Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
158Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
159Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
160Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
161A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
162High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
163Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
164High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
165The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
166The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
167Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
168Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
169Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
170Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
171Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
172Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
173Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
174Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
175Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
176TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
177Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
178Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
179The effects of layering in ferroelectric Si-doped HfO2 thin films
180Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
181Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
182Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
183Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
184Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
185Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
186Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
187Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
188Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
189Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
190Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
191Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
192Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
193Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
194Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
195Radical Enhanced Atomic Layer Deposition of Metals and Oxides
196Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
197Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
198Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
199Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
200Passivation effects of atomic-layer-deposited aluminum oxide
201Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
202Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
203Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
204Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
205Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
206Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
207Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
208Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
209Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
210Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
211Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
212Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
213Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
214Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
215Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
216Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
217Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
218Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
219Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
220Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
221Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
222Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
223Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
224Damage evaluation in graphene underlying atomic layer deposition dielectrics
225Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
226Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
227Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
228Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
229Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
230ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
231Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
232Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
233Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
234Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
235Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
236Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
237Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
238Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
239Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
240Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
241High-efficiency embedded transmission grating
242Residual stress study of thin films deposited by atomic layer deposition
243Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
244Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
245Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
246Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
247Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
248Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
249Room-Temperature Atomic Layer Deposition of Platinum
250Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
251Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
252Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
253Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
254Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
255Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
256Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
257Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
258Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
259Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
260Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
261Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
262Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
263Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
264Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
265Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
266Designing high performance precursors for atomic layer deposition of silicon oxide
267Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
268Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
269Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
270Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
271Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
272Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
273Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
274Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
275Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
276Composite materials and nanoporous thin layers made by atomic layer deposition
277From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
278On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
279Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
280The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
281Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
282Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
283High-efficiency embedded transmission grating
284Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
285Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
286Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
287Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
288A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
289Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
290Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
291Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
292Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
293Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
294A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
295Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
296'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
297Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
298Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
299Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
300Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
301Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
302Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
303Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
304Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
305Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
306Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
307Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
308Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
309Optical and Electrical Properties of TixSi1-xOy Films
310Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
311Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
312Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
313Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
314Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
315In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
316Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
317Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
318HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
319Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
320Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
321Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
322Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
323Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
324Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
325Improved understanding of recombination at the Si/Al2O3 interface
326Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
327PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
32846-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
329High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
330Encapsulation method for atom probe tomography analysis of nanoparticles
331Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
332Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
333Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
334An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
335Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
336Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
337Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
338Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
339Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
340Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
341An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
342Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
343A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
344Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
345Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
346Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
347Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
348Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
349Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
350High-Reflective Coatings For Ground and Space Based Applications
351Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
352Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
353Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
354Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
355Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
356Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
357Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
358Radical Enhanced Atomic Layer Deposition of Metals and Oxides
359Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
360The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
361Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
362Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
363Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
364Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
365Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
366Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
367Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
368Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
369Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
370Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
371Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
372Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
373Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
374Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
375Sub-10-nm ferroelectric Gd-doped HfO2 layers
376Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
377Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
378On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
379Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
380Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
381Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
382High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
383Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
384Lithium-Iron (III) Fluoride Battery with Double Surface Protection
385Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
386Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
387Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
388Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
389Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
390Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
391Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
392Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
393Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
394Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
395Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
396Lithium-Iron (III) Fluoride Battery with Double Surface Protection
397Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
3981D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
399Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
400Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
401Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
402Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
403A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
404Spectroscopy and control of near-surface defects in conductive thin film ZnO
405Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
406Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
407Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
408High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
409High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
410Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
411Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
412Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
413Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
414Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
415Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
416Hafnia and alumina on sulphur passivated germanium
417Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
418Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
419In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
420Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
421Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
422Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
423Hafnia and alumina on sulphur passivated germanium
424Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
425Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
426In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
427Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
428Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
429Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
430Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
431A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
432Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
433Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
434Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
435Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
436Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
437Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
438Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
439Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
440Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
441Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
442In-gap states in titanium dioxide and oxynitride atomic layer deposited films
443Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
444Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
445Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
446Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
447Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
448Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
449Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
450Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
451Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
452Atomic Layer Deposition of Gold Metal
453Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
454The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
455Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
456Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
457Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
458Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
459The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
460Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
461Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
462Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
463Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
464Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
465Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
466Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
467Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
468Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
469Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
470Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
471Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
472Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
473Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
474Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
475Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
476Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
477Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
478PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
479Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
480Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
481Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
482Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
483Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
484Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
485Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
486Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
487Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
488Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
489Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
490Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
491α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
492Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
493Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
494Optical and Electrical Properties of AlxTi1-xO Films
495Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
496Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
497Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
498Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
499Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
500Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
501Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
502The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
503Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
504Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
505Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
506Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
507Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
508Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
509Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
510Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
511Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
512Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
513Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
514Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
515MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
516Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
517Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
518Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
519Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
5203D structure evolution using metastable atomic layer deposition based on planar silver templates
521Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
522Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
523Patterned deposition by plasma enhanced spatial atomic layer deposition
524The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
525Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
526Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
527Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
528Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
529The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
530Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
531Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
532Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
533Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
534Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
535Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
536Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
537The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
538Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
539Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
540Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
541Band alignment of Al2O3 with (-201) β-Ga2O3
542Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
543Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
544Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
545Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
546Radical Enhanced Atomic Layer Deposition of Metals and Oxides
547Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
548Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
549Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
550Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
551Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
552Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
553Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
554Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
555Capacitance spectroscopy of gate-defined electronic lattices
556In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
557Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
558Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
559Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
560Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
561Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
562Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
563Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
564Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
565Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
566Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
567Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
568Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
569Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
570Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
571On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
572Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
573Gate Insulator for High Mobility Oxide TFT
574Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
575First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
576Plasma enhanced atomic layer deposition of Ga2O3 thin films
577Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
578Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
579Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
580Radical Enhanced Atomic Layer Deposition of Metals and Oxides
581Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
582A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
583SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
584Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
585ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
586TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
587Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
588Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
589Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
590Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
591Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
592Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
593Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
594Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
595Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
596Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
597Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
598Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
599Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
600ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
601Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
602Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
603Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
604Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
605On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
606Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
607Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
608Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
609Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
610Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
611Damage evaluation in graphene underlying atomic layer deposition dielectrics
612In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
613Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
614Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
615Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
616Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
617Low temperature temporal and spatial atomic layer deposition of TiO2 films
618Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
619Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
620Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
621Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
622Breakdown and Protection of ALD Moisture Barrier Thin Films
623Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
624Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
625Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
626Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
627Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
628Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
629Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
630Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
631Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
632Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
633Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
634Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
635Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
636Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
637Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
638Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
639Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
640Remote Plasma ALD of Platinum and Platinum Oxide Films
641Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
642Symmetrical Al2O3-based passivation layers for p- and n-type silicon
643Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
644Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
645Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
646Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
647Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
648Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
649Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
650Very high frequency plasma reactant for atomic layer deposition
651Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
652Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
653Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
654Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
655Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
656Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
657Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
658Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
659Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
660Energy-enhanced atomic layer deposition for more process and precursor versatility
661Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
662Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
663Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
664Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
665Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
666Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
667Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
668Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
669Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
670Plasma-enhanced atomic layer deposition of zinc phosphate
671Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
672Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
673Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
674Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
675Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
676Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
677Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
678Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
679Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
680Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
681In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
682Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
683Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
684Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
685Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
686Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
687Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
688A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
689Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
690Lithium-Iron (III) Fluoride Battery with Double Surface Protection
691Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
692The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
693Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
694Transient characterization of the electroforming process in TiO2 based resistive switching devices
695Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
696Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
697Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
698Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
699Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
700Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
701Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
702Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
703Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
704Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
705Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
706Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
707Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
708Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
709Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
710Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
711Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
712Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
713Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
714Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
715Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
716Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
717Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
718Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
719Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
720Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
721Radical Enhanced Atomic Layer Deposition of Metals and Oxides
722Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
723Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
724Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
725Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
726Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
727On the equilibrium concentration of boron-oxygen defects in crystalline silicon
728ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
729Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
730Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
731Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
732Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
733Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
734Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
735Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
736Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
737Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
738Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
739Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
740Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
741Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
742Flexible Memristive Memory Array on Plastic Substrates
743Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
744Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
745Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
746Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
747Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
748ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
749Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
750Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
751The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
752Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
753Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
754Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
755Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
756Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
757Innovative remote plasma source for atomic layer deposition for GaN devices
758Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
759Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
760The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
761Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
762Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
763Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
764In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
765Optical in situ monitoring of plasma-enhanced atomic layer deposition process
766Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
767Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
768Optical properties and bandgap evolution of ALD HfSiOx films
769Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
770Characteristics of HfO2 thin films grown by plasma atomic layer deposition
771Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
772Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
773Anti-stiction coating for mechanically tunable photonic crystal devices
774Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
775Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
776Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
777Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
778Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
779Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
780Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
781Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
782Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
783Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
784Energy-enhanced atomic layer deposition for more process and precursor versatility
785Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
786Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
787Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
788Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
789Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
790Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
791High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
792The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
793Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
794Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
795Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
796On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
797Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
798Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
799Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
800Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
801Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
802Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
803Optimization of the Surface Structure on Black Silicon for Surface Passivation
804MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
805Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
806Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
807Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
808Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
809Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
810Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
811Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
812Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
813Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
814Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
815Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
816Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
817Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
818Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
819Radical Enhanced Atomic Layer Deposition of Metals and Oxides
820Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
821Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
822Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
823Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
824Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
825Oxygen migration in TiO2-based higher-k gate stacks
826Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
827Energy-enhanced atomic layer deposition for more process and precursor versatility
828Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
829Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
830Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
831Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
832Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
833In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
834Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
835PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
836PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
837Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
838Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
839Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
840Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
841Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
842Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
843Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
844Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
845Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
846Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
847Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
848Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
849Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
850Remote Plasma ALD of Platinum and Platinum Oxide Films
851A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
852Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
853Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
854Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
855Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
856Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
857Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
858Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
859Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
860Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
861Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
862Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
863Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
864Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
865Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
866Systematic efficiency study of line-doubled zone plates
867Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
868Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
869Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
870Optical display film as flexible and light trapping substrate for organic photovoltaics
871Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
872Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
873Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
874Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
875Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
876Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
877Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
878Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
879Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
880Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
881Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
882Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
883TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
884Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
885Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
886Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
887All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
888Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
889Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
890Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
891Impact of interface materials on side permeation in indirect encapsulation of organic electronics
892Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
893Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
894On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
895Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
896Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
897Atomic layer deposition of YMnO3 thin films
898Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
899Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
900Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
901Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
902Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
903Highly efficient and bending durable perovskite solar cells: toward a wearable power source
904Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
905Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
906Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
907Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
908Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
909Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
910High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
911Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
912Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
913Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
914Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
915Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
916Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
917Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
918Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
919The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
920Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
921Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
922Tuning size and coverage of Pd nanoparticles using atomic layer deposition
923Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
924Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
925X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
926Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
927Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
928Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
929Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
930Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
931Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
932The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
933Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
934Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
935A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
936Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
937Propagation Effects in Carbon Nanoelectronics
938Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
939Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
940Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
941Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
942Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
943Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
944Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
945Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
946Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
947Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
948Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
949Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
950Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
951Single-Cell Photonic Nanocavity Probes
952MANOS performance dependence on ALD Al2O3 oxidation source
953Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
954PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
955Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
956Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
957Densification of Thin Aluminum Oxide Films by Thermal Treatments
958Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
959Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
960Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
961Plasma-enhanced atomic layer deposition of BaTiO3
962Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
963Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
964The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
965Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
966Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
967Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
968Optical properties and bandgap evolution of ALD HfSiOx films
969A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
970Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
971Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
972Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
973Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
974High-Reflective Coatings For Ground and Space Based Applications
975Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
976Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
977Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
978Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
979Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
980Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
981The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
982Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
983Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
984Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
985Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
986Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
987Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
988Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
989Advances in the fabrication of graphene transistors on flexible substrates
990ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
991Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
992Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
993Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
994Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
995Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
996Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
997Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
998On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
999Trapped charge densities in Al2O3-based silicon surface passivation layers
1000Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
1001An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
1002Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
1003Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
1004Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
1005Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
1006Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
1007Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
1008Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
1009A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
1010Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
1011AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
1012Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
1013Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
1014Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1015Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
1016Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
1017Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
1018Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
1019Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
1020Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
1021Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
1022Topographically selective deposition
1023Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
1024Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
1025Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
1026Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
1027The important role of water in growth of monolayer transition metal dichalcogenides
1028Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
1029Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
1030Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
1031Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
1032Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
1033Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
1034Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
1035Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1036Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
1037Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
1038Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
1039Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
1040Trilayer Tunnel Selectors for Memristor Memory Cells
1041HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
1042Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
1043The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
1044Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
1045Sub-7-nm textured ZrO2 with giant ferroelectricity
1046Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
1047In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1048Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
1049Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
1050Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
1051Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
1052Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
1053Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
1054Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
1055Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
1056Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
1057Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
1058Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
1059Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
1060Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
1061Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
1062Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
1063Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
1064Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
1065Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
1066Charge effects of ultrafine FET with nanodot type floating gate
1067Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
1068Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
1069Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
1070Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
1071Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
1072Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
1073Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
1074Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
1075Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
1076Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
1077Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
1078Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
1079Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
1080Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
1081Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
1082Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
1083Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
1084Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
1085Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
1086Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
1087Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
1088Bipolar resistive switching in amorphous titanium oxide thin film
1089Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
1090Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
1091Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
1092Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
1093Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
1094'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
1095DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
1096Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
1097Plasma-enhanced atomic layer deposition of BaTiO3
1098Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1099Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
1100Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
1101Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
1102HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
1103The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
1104Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1105Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
1106Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
1107Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
1108Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
1109Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
1110Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
1111Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
1112DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
1113Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
1114Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
1115Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
1116High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
1117Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
1118Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
1119Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
1120An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
1121Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
1122Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
1123Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
1124Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
1125Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
1126Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
1127Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
1128Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
1129Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
1130Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
1131Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
1132Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
1133Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
1134N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
1135High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
1136Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
1137Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
1138Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
1139Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
1140Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
1141Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
1142Study on the resistive switching time of TiO2 thin films
1143IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
1144Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
1145Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
1146Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
1147Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
1148Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
1149Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
1150Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
1151Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
1152Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
1153The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
1154Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
1155Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
1156Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
1157Top-down fabricated ZnO nanowire transistors for application in biosensors
1158Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
1159The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1160Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
1161Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
1162Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
1163Residual stress study of thin films deposited by atomic layer deposition
1164Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
1165Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
1166Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
1167Fiber-matrix interface reinforcement using Atomic Layer Deposition
1168RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
1169Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
1170XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
1171Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
1172Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
1173Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
1174The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
1175Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
1176On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
1177Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
1178Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
1179Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
1180Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
1181Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
1182Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
1183A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
1184Impact of interface materials on side permeation in indirect encapsulation of organic electronics
1185Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
1186Method of Fabrication for Encapsulated Polarizing Resonant Gratings
1187ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
1188AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
1189Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1190Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
1191Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1192New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
1193Surface and sensing properties of PE-ALD SnO2 thin film
1194Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
1195AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
1196Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
1197Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
1198Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
1199Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
1200Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
1201Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
1202Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
1203Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
1204Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1205Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1206Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
1207Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
1208Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
1209Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
1210Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
1211Biofilm prevention on cochlear implants
1212Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
1213Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1214Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
1215Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
1216Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
1217In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1218Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
1219Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
1220Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
1221Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
1222A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
1223Breakdown and Protection of ALD Moisture Barrier Thin Films
1224Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
1225Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
1226Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
1227Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
1228Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
1229Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
1230Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
1231In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
1232Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1233Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
1234Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
1235Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
1236Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
1237Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
1238Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD