www.plasma-ald.com 2021 Year in Review


Introduction

The www.plasma-ald.com website hosts an easily searchable database of publications which discuss thin films derived from plasma enhanced atomic layer deposition.

At the end of 2021, the database covered 1,615 publications.

For each publication, numerous details of the plasma ALD usage are entered into the database to allow the publication to be found through various searches. The primary search categories include:

An advanced search page allows users to simulateously search on multiple criteria and narrow their search with additional publication details:

  • Deposition Temperature
  • Author Name and Affiliation
  • Characterization Analyses and Equipment
  • Substrate Material

There are also links to "Where to Buy" many of the precursors wherever those chemicals are discussed.

This review reports on the website visitor volume and geographic origin in addition to the most popular searches and viewed pages on the website during 2021.

The information presented is derived from the Google Analytics data collected from the website traffic during 2021.



Visitors

Total Visitors

The website had 11,994 visitors in 2021.


Daily Visitors

There were 5 - 119 daily visitors to the site. The plot below shows a sawtooth pattern depicting more visitors during the week and fewer on the weekend.

www.plasma-ald.com 2021 Daily Visitor Plot

Weekly Visitors

Weekly, the website had 170 - 406 visitors (omitting the short week one).

www.plasma-ald.com 2021 Weekly Visitor Plot

Monthly Visitors

The site had 950 – 1246 visitors per month.

www.plasma-ald.com 2021 Monthly Visitor Plot


Visitors by Region

www.plasma-ald.com 2021 Visitor Map

www.plasma-ald.com 2021 Country Visitor Table



Pageviews

There was a total of 36,680 pageviews in 2021.

Daily Pageviews

Visitors viewed an average of 100 pages a day with a daily view range of 6 to 337 pages.

www.plasma-ald.com 2021 Daily Pageview Plot

Weekly Pageviews

Again omitting the short week 1, weekly page views ranged from 398 to 1106.

www.plasma-ald.com 2021 Weekly Pageview Plot

Monthly Pageviews

Monthly pageviews ranged from 2437 to 3795.

www.plasma-ald.com 2021 Monthly Pageview Plot



Overall Top Viewed Pages

Rank Page Views
1 www.plasma-ald.com Homepage 4854
2 Precursor Chemistry List 1496
3 Film Composition List 1140
4 BDEASi, SAM-24 Publication List 1131
5 Plasma ALD Hardware List 535
6 Multisearch 443
7 HfCp(NMe2)3, Air Liquide HyALDTM Publication List 397
8 "Where to Buy" TiCl4 List 370
9 Search by Film Characteristics 357
10 AlN Publication List 336
11 NbN Publication List 268
12 Lists of On-Line PEALD Theses 245
13 Pentamethyl cyclopentadienyl titanium, trimethoxide Ti(CpMe5)(OMe)3 Publication List 226
14 SiNx Publication List 226
15 SiO2 Publication List 223
16 ”Radical Enhanced Atomic Layer Deposition of Metals and Oxides” Publication Page 206
17 Picosun R200 Publication List 203
18 GaN Publication List 199
19 TDMASn, (Me2N)4Sn, Tetrakis(DiMethylAmido) Tin Publication List 181
20 ASM Microchemistry F-120 Publication List 174
21 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3 Publication List 173
22 AP-LTO 330 Publication List 172
23 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium Publication List 166
24 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 Publication List 159
25 BTBAS, bis(tert-butylamido) silane Publication List 152



Top Chemistry Searches

Rank Precursor CAS # Searches
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 1131
2 tris(dimethylamido)cyclopentadienyl hafnium, HfCp(NMe2)3 , Air Liquide HyALDTM N/A 397
3 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 226
4 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 181
5 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3 33271-88-4 173
6 AP-LTO 330 N/A 172
7 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 166
8 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 N/A 159
9 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 152
10 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) 56792-69-9 147
11 tris(isopropylcyclopentadienyl)lanthanum, (i-PrCp)3La 68959-87-5 128
12 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 121
13 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 110
14 Bis(ethylcyclopentadienyl)magnesium, (EtCp)2Mg 114460-02-5 95
15 TDMAZr, (Me2N)4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 93
16 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 N/A 92
17 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 88
18 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 3275-24-9 88
19 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf 19962-11-9 or 19782-68-4 82
20 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 80



Top Viewed Publications

Rank Publication Views
1 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 206
2 Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices 61
3 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 60
4 Comparative study of ALD SiO2 thin films for optical applications 59
5 Atomic layer deposition of GaN at low temperatures 59
6 Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition 55
7 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 49
8 Designing high performance precursors for atomic layer deposition of silicon oxide 47
9 A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs 44
10 Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application 42
11 Breakdown and Protection of ALD Moisture Barrier Thin Films 42
12 A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layerd eposition 41
13 Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma 41
14 Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process 40
15 Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma 40
16 Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor 38
17 GeSbTe deposition for the PRAM application 38
18 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 36
19 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 36
20 Atomic Layer Deposition of Niobium Nitride from Different Precursors 36
21 Ag films grown by remote plasma enhanced atomic layer deposition on different substrates 35
22 Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon 35
23 AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms 35
24 Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition 35
25 Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor 33
26 A route to low temperature growth of single crystal GaN on sapphire 33
27 AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition 32
28 Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum 31
29 Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper 30
30 Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition 30
31 Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate 30
32 Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition 30
33 All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process 29
34 Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant 29
35 Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride 29
36 Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources 28
37 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 28
38 Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition 28
39 Combined PEALD Gate-Dielectric and In-Situ SiN Cap-Layer for Reduced Vth Shift and RDS-ON Dispersion of AlGaN/GaN HEMTs on 200 mm Si Wafer 26
40 A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors 26
41 Atomic layer deposition of titanium nitride from TDMAT precursor 25
42 A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition 25
43 Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier 25
44 Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM 25
45 Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating 25
46 Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties 24
47 Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition 24
48 Plasma-enhanced atomic layer deposition of superconducting niobium nitride 24
49 'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition 24
50 Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx 24



Top "Where to Buy" Chemistry Searches

Rank "Where to Buy" Chemistry CAS # Searches
1 TiCl4 7550-45-0 370
2 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate) silver(I) 165461-74-5 102
3 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 71
4 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 78-10-4 62
5 TMA, trimethyl aluminum, AlMe3 75-24-1 57
6 B(OMe)3, Trimethyl borate, Boric acid trimethyl ester, Methyl borate 121-43-7 44
7 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 721427-58-3 40
8 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 97-93-8 38
9 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 38
10 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 14040-11-0 35
11 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 30
12 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 28
13 AlCl3, Aluminum Trichloride 7446-70-0 22
14 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf 19962-11-9 or 19782-68-4 17
15 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 17



Top Film Composition Searches

Rank Film Composition Searches
1 AlN 336
2 NbN 268
3 SiNx 226
4 SiO2 223
5 GaN 199
6 TiN 135
7 HfO2 108
8 Ag 105
9 WCN 100
10 Al2O3 92
11 Cu 90
12 Ga2O3 79
13 BN 61
14 ZrO2 52
15 HfZrO2 51
16 TaNx 49
17 SnO2 45
18 SiC 42
19 Pt 41
20 Ru 39



Top Hardware Searches

Rank Hardware Searches
1 Picosun R200 203
2 ASM Microchemistry F-120 174
3 Veeco - Ultratech - Cambridge NanoTech Fiji 105
4 ASM Eagle 12 98
5 ASM Eagle XP8 98
6 ASM Genitech PEALD 56
7 Picosun SUNALE R-150B 52
8 Beneq TFS-200 46
9 Angstrom-dep III PEALD 45
10 Custom Microwave Plasma 44
11 Oxford Instruments FlexAL 39
12 Aixtron Genus Stratagem 200 38
13 Quros Plus 200 37
14 ASM EmerALD 35
15 Oxford Instruments OpAL 34
16 Lam ALTUS 34
17 SNTEK Co. ALD 5008 32
18 Applied Materials 300mm ALD 30
19 Applied Materials TxZ chamber 29
20 ASM A400 26