SiNx Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications discussing SiNx films returned 67 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
2Trapping and reliability issues in GaN-based MIS HEMTs with partially recessed gate
3Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
4Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride
5Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
6Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
7Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
8Anisotropic Inter-Poly Dielectric technology for conventional floating gate type flash memory
9Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
10The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer
11Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
12Time-Dependent Breakdown Mechanisms and Reliability Improvement in Edge Terminated AlGaN/GaN Schottky Diodes Under HTRB Tests
13Reliability and parasitic issues in GaN-based power HEMTs: a review
14Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
15Evaluation of Stress Induced by Plasma Assisted ALD SiN Film
16Impact of gate insulator on the dc and dynamic performance of AlGaN/GaN MIS-HEMTs
17Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
18Reliability and failure physics of GaN HEMT, MIS-HEMT and p-gate HEMTs for power switching applications: Parasitic effects and degradation due to deep level effects and time-dependent breakdown phenomena
19Combined PEALD Gate-Dielectric and In-Situ SiN Cap-Layer for Reduced Vth Shift and RDS-ON Dispersion of AlGaN/GaN HEMTs on 200 mm Si Wafer
20Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
21Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
22Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
23Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
24Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
25Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
26Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
27A Silicon Nitride MIM Capacitor for Analog/Mixed-Signal Integrated Circuit using Manufacturable Atomic Layer Deposition Equipment
28Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook
29Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
30Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
31SiNx passivated GaN HEMT by plasma enhanced atomic layer deposition
32Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
33Performance Optimization of Au-Free Lateral AlGaN/GaN Schottky Barrier Diode With Gated Edge Termination on 200-mm Silicon Substrate
34Patterning of silicon nitride for CMOS gate spacer technology. III. Investigation of synchronously pulsed CH3F/O2/He plasmas
35Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition
36Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
37Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
38Plasma enhanced atomic layer deposition of SiNx:H and SiO2
39Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
40Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
41High-performance normally off AlGaN/GaN-on-Si HEMTs with partially recessed SiNx MIS structure
42Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
43Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
44Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
45Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
46Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
47Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
48Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors
49Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
50Challenges in spacer process development for leading-edge high-k metal gate technology
51Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature
52Combined plasma-enhanced-atomic-layer-deposition gate dielectric and in situ SiN cap layer for reduced threshold voltage shift and dynamic ON-resistance dispersion of AlGaN/GaN high electron mobility transistors on 200 mm Si substrates
53Leakage and trapping characteristics in Au-free AlGaN/GaN Schottky barrier diodes fabricated on C-doped buffer layers
54Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
55Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
56Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
57Improvement of Vth Instability in Normally-Off GaN MIS-HEMTs Employing PEALD-SiNx as an Interfacial Layer
58Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
59AlGaN/GaN power schottky diodes with anode dimension up to 100 mm on 200 mm Si substrate
60Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
61Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
62Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
63Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
64High-Voltage and Low-Leakage-Current Gate Recessed Normally-Off GaN MIS-HEMTs With Dual Gate Insulator Employing PEALD-SiNx/RF-Sputtered-HfO2
65CMOS-compatible Replacement Metal Gate InGaAs-OI FinFET With ION= 156 μA/μm at VDD= 0.5 V and IOFF= 100 nA/μm
66Impacts of conduction band offset and border traps on Vth instability of gate recessed normally-off GaN MIS-HEMTs
67Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition