www.plasma-ald.com 2023 Year in Review


Introduction

The www.plasma-ald.com website hosts an easily searchable database of publications which discuss thin films derived from plasma enhanced atomic layer deposition.

The publication database currently has 1700 entries.

For each publication, numerous details of the plasma ALD usage are entered into the database to allow the publication to be found through various searches. The primary search categories include:

An advanced search page allows users to simulateously search on multiple criteria and narrow their search with additional publication details:

  • Deposition Temperature
  • Author Name and Affiliation
  • Characterization Analyses and Equipment
  • Substrate Material

There are also links to "Where to Buy" many of the precursors wherever those chemicals are discussed.

This review reports on the website visitor volume in addition to the most popular searches and viewed pages on the website during 2023.

The information presented is derived from analyzing the web server logs with custom Python code utilizing the open source data analysis library, pandas. Substantial efforts are made to remove all traffic not attributable to real visitors. My strategies for eliminating non-real traffic have evolved in a way I think is better. In order to be able to make the best comparisons with 2022 and 2021 data, I have reanalyzed the data from those years with my latest code. The data presented on this page for 2022 and 2021 will not match up quantitatively with the previous Year in Review pages.

Analysis Revision 2.0 2024-01-26



Visitors

In 2023, the website had 984 - 1514 visitors per month for a total of 14,446. Annual traffic was essentially unchanged from 2022 which saw 14,450 visitors while 2021 had 11778.

www.plasma-ald.com 2023 Monthly Visitor Plot



Site-wide Page Views

Total page views for the year was 37229, essentially unchanged from 2022.

www.plasma-ald.com 2023 Total Page Views

Top Page Views

This table shows the top 100 pages for 2023 by views.

2023 Rank Page 2023 Count
1 www.plasma-ald.com Homepage 5211
2 Precursor Chemistry List 1385
3 Film Composition List 1058
4 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 Publication List 772
5 Database Multifactor Search 536
6 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM Publication List 479
7 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS Publication List 387
8 Deposition Hardware List 387
9 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM Publication List 343
10 SiO2 Publication List 256
11 2022 Year in Review 239
12 Plasma ALD Theses 238
13 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate'Where to Buy' 228
14 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 Publication List 225
15 Film Characteristics List 215
16 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide Publication List 207
17 TiCl4, Titanium Tetrachloride'Where to Buy' 207
18 ASM Eagle XP8 Publication List 204
19 ASM Microchemistry F-120 Publication List 200
20 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn Publication List 191
21 ALD Internet Links 175
22 AP-LTO 330 Publication List 169
23 SiNx Publication List 165
24 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 Publication List 162
25 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3'Where to Buy' 153
26 NbN Publication List 149
27 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti Publication List 146
28 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 140
29 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 Publication List 138
30 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) Publication List 134
31 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 Publication List 127
32 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 Publication List 120
33 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten Publication List 120
34 Author List 118
35 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel Publication List 117
36 TiN Publication List 115
37 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 Publication List 112
38 2021 Year in Review 111
39 Applied Materials TxZ chamber Publication List 104
40 Veeco - Ultratech - Cambridge NanoTech Fiji Publication List 103
41 Al2O3 Publication List 102
42 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum Publication List 99
43 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium Publication List 98
44 DEZ, diethyl zinc, ZnEt2 Publication List 98
45 ASM Eagle 12 Publication List 97
46 Bis(t-butylimido)bis(dimethylamino)molybdenum Publication List 97
47 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) Publication List 92
48 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide Publication List 91
49 Contact Information 91
50 AlN Publication List 90
51 Picosun R200 Publication List 84
52 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf Publication List 81
53 Er(TMHD)3, Er(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) erbium, Erbium dipivaloylmethanate Publication List 78
54 (ethylbenzyl) (1-ethyl-1,4-cyclohexadienyl) Ru(0), EBECHRu Publication List 78
55 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 Publication List 77
56 Applied Materials 300mm ALD Publication List 77
57 Beneq TFS-200 Publication List 76
58 Designing high performance precursors for atomic layer deposition of silicon oxide 72
59 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide Publication List 71
60 Bis(ethylcyclopentadienyl)magnesium, (EtCp)2Mg Publication List 70
61 GaN Publication List 70
62 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 70
63 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium Publication List 69
64 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide Publication List 68
65 HfO2 Publication List 67
66 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 Publication List 66
67 Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition 66
68 Picosun SUNALE R-150B Publication List 65
69 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2'Where to Buy' 65
70 Bis(ethylcyclopentadienyl)nickel, (EtCp)2Ni Publication List 65
71 Zn(DMP)2, BDMPZ, bis-3-(N,N-dimethylamino)propyl zinc Publication List 60
72 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate'Where to Buy' 60
73 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y Publication List 60
74 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 Publication List 59
75 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 59
76 Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature 59
77 Bi(TMHD)3, Bi(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) bismuth, Bismuth dipivaloylmethanate Publication List 59
78 tris(isopropylcyclopentadienyl) cerium, Ce(i-PrCp)3 Publication List 59
79 dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C16H22O6Ru) Publication List 58
80 TiCl4, Titanium Tetrachloride Publication List 57
81 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) Publication List 56
82 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) yttrium Y(tmhd)3 Publication List 56
83 TDMAGe, (Me2N)4Ge, [(CH3)2N]4Ge, Tetrakis(DiMethylAmido) Germanium, Germanium Dimethylamide Publication List 55
84 ASM Pulsar 3000 Publication List 55
85 tris(isopropylcyclopentadienyl)lanthanum, (i-PrCp)3La Publication List 54
86 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride'Where to Buy' 54
87 Mn(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) manganese Publication List 53
88 TiO2 Publication List 53
89 bis(cyclopentadienyl)Magnesium, MgCp2 Publication List 52
90 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3'Where to Buy' 52
91 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 52
92 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene Publication List 51
93 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti'Where to Buy' 51
94 Atomic layer deposition of titanium nitride from TDMAT precursor 51
95 AlCl3, Aluminum Trichloride'Where to Buy' 51
96 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y'Where to Buy' 51
97 Diffusion Barrier Properties Publication List 51
98 Oxford Instruments FlexAL Publication List 50
99 TEMATi, (EtMeN)4Ti, [(C2H5)(CH3)N]4Ti, Tetrakis(EthylMethylAmido) Titanium, Titanium Ethylmethylamide Publication List 50
100 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) Publication List 50


Top Publication Views

This table shows the top 100 publications for 2023 by views.

2023 Rank Publication 2023 Count
1 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 140
2 Designing high performance precursors for atomic layer deposition of silicon oxide 72
3 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 70
4 Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition 66
5 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 59
6 Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature 59
7 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 52
8 Atomic layer deposition of titanium nitride from TDMAT precursor 51
9 Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma 47
10 Comparative study of ALD SiO2 thin films for optical applications 46
11 Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing 43
12 Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition 43
13 Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition 37
14 Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma 37
15 Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor 37
16 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 37
17 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 35
18 Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition 35
19 Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma 34
20 Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide 33
21 Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma 32
22 Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation 31
23 Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions 30
24 Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies 30
25 Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications 30
26 Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx 30
27 Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices 29
28 Experimental and theoretical determination of the role of ions in atomic layer annealing 28
29 Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma 28
30 Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma 28
31 A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition 27
32 Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient 26
33 A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition 25
34 Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process 25
35 Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects 25
36 Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook 24
37 Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2 24
38 Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM 24
39 Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor 24
40 Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application 24
41 Ag films grown by remote plasma enhanced atomic layer deposition on different substrates 24
42 Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges 24
43 Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers 24
44 Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition 23
45 Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition 23
46 Breakdown and Protection of ALD Moisture Barrier Thin Films 23
47 Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition 23
48 Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN 23
49 Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition 23
50 Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy 22
51 Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure 22
52 Gallium nitride thin films by microwave plasma-assisted ALD 22
53 Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films 22
54 Plasma-Enhanced Atomic Layer Deposition of Ni 21
55 Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition 21
56 Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature 21
57 Plasma enhanced atomic layer deposition of SiNx:H and SiO2 21
58 Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices 21
59 Innovative remote plasma source for atomic layer deposition for GaN devices 21
60 Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition 21
61 Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology 21
62 Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium 20
63 Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films 20
64 Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films 20
65 Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition 20
66 Plasma-enhanced atomic layer deposition of superconducting niobium nitride 20
67 Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition 20
68 Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries 20
69 TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition 20
70 Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications 20
71 Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries 19
72 Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating 19
73 Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle 19
74 Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum 19
75 Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis 19
76 Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition 19
77 From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications 18
78 Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition 18
79 Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization 18
80 Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN 18
81 GeSbTe deposition for the PRAM application 18
82 Combined PEALD Gate-Dielectric and In-Situ SiN Cap-Layer for Reduced Vth Shift and RDS-ON Dispersion of AlGaN/GaN HEMTs on 200 mm Si Wafer 18
83 Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition 18
84 Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells 18
85 Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma 17
86 Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy 17
87 The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology 17
88 Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition 17
89 Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor 17
90 Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect 17
91 Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights 17
92 Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells 17
93 Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces 17
94 Remote Plasma ALD of Platinum and Platinum Oxide Films 17
95 Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films 17
96 Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators 17
97 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 17
98 Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition 17
99 Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications 17
100 Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma 16


Top Chemistry Searches

This table shows the top 100 chemistry searches for 2021, 2022, and 2023.

Growing interest with at least 50% more views than the previous year.

Decreasing interest with at least 50% fewer views that the previous year.

2023 Rank Chemical 2023 Rank 2023 Count 2022 Rank 2022 Count 2021 Rank 2021 Count
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 1 772 1 704 1 916
2 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 2 479 2 466 2 287
3 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 3 387 3 396 17 68
4 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 4 343 4 243 5 146
5 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 5 225 6 197 35 35
6 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 6 207 5 209 6 140
7 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn 7 191 12 159 46 29
8 AP-LTO 330 8 169 13 158 4 155
9 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 9 162 7 194 3 184
10 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 10 146 10 175 14 77
11 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 11 138 23 89 66 17
12 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) 12 134 17 110 10 113
13 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 13 127 9 181 8 131
14 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 14 120 11 161 15 76
15 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 15 120 18 102 18 68
16 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 16 117 21 91 32 41
17 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 17 112 14 144 9 125
18 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 18 99 28 74 33 38
19 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 19 98 15 143 7 138
20 DEZ, diethyl zinc, ZnEt2 20 98 16 111 31 41
21 Bis(t-butylimido)bis(dimethylamino)molybdenum 21 97 30 65 24 52
22 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 22 92 34 63 27 46
23 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 23 91 22 89 19 68
24 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 24 81 8 182 11 98
25 Er(TMHD)3, Er(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) erbium, Erbium dipivaloylmethanate 25 78 72 26 217 3
26 (ethylbenzyl) (1-ethyl-1,4-cyclohexadienyl) Ru(0), EBECHRu 26 78 19 91 23 52
27 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 27 77 27 77 21 60
28 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide 28 71 38 58 42 30
29 Bis(ethylcyclopentadienyl)magnesium, (EtCp)2Mg 29 70 60 32 16 69
30 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium 30 69 24 87 34 37
31 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 31 68 25 86 101 11
32 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 32 66 31 65 13 89
33 Bis(ethylcyclopentadienyl)nickel, (EtCp)2Ni 33 65 43 49 50 27
34 Zn(DMP)2, BDMPZ, bis-3-(N,N-dimethylamino)propyl zinc 34 60 52 40 88 13
35 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 35 60 37 58 30 43
36 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 36 59 26 84 22 54
37 Bi(TMHD)3, Bi(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) bismuth, Bismuth dipivaloylmethanate 37 59 70 27 63 22
38 tris(isopropylcyclopentadienyl) cerium, Ce(i-PrCp)3 38 59 44 49 100 11
39 dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C16H22O6Ru) 39 58 33 64 29 45
40 TiCl4, Titanium Tetrachloride 40 57 47 44 49 28
41 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 41 56 49 42 83 14
42 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) yttrium Y(tmhd)3 42 56 87 22 137 8
43 TDMAGe, (Me2N)4Ge, [(CH3)2N]4Ge, Tetrakis(DiMethylAmido) Germanium, Germanium Dimethylamide 43 55 94 19 54 26
44 tris(isopropylcyclopentadienyl)lanthanum, (i-PrCp)3La 44 54 29 72 12 97
45 Mn(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) manganese 45 53 77 26 73 15
46 bis(cyclopentadienyl)Magnesium, MgCp2 46 52 63 29 55 25
47 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 47 51 46 46 26 49
48 TEMATi, (EtMeN)4Ti, [(C2H5)(CH3)N]4Ti, Tetrakis(EthylMethylAmido) Titanium, Titanium Ethylmethylamide 48 50 85 24 179 4
49 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) 49 50 81 26 25 51
50 Ga(TMHD)3, Ga(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) gallium, Gallium tetramethylheptanedionate 50 46 96 19 146 6
51 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 51 46 50 41 52 27
52 In(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) indium 52 46 150 9 131 8
53 Bis(isopropyl cyclopentadienyl) tungsten(IV) dihydride, WH2(iPrCp)2 53 45 65 29 47 29
54 4DMASi, TDMASi, (Me2N)4Si, [(CH3)2N]4Si, Tetrakis(DiMethylAmido) Silane, Silicon Dimethylamide 54 44 145 10 105 11
55 3DMAAl, (Me2N)3Al, (Me2N)6Al2, Tris (DiMethylAmido) Aluminum(III) 55 43 218 4 123 9
56 TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3] 56 43 20 91 20 62
57 DCS, H2SiCl2, DiChloroSilane 57 43 59 32 180 4
58 Bis(triisopropyl cyclopentadienyl) barium, Ba(iPr3Cp)2 58 41 95 19 72 16
59 LiHMDS, Lithium hexamethyldisilazide, Lithium bis(trimethylsilyl)amide, LiN(SiMe3)2 59 40 105 16 119 9
60 Trisilylamine [N(SiH3)3] 60 40 35 62 64 20
61 TDMAV, (Me2N)4V, [(CH3)2N]4V, Tetrakis(DiMethylAmido) Vanadium, Vanadium Dimethylamide 61 39 75 26 102 11
62 (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium [Ru(DMPD)(EtCp)] 62 39 48 42 40 30
63 Et2InN(SiMe3)2, Et2In(HMDS), DiEthyl Indium HexaMethylDiSilazane, DiEthyl Indium Bis(trimethylsilyl)amine, Bis(trimethysilyl)amidodiethyl Indium 63 38 66 28 56 25
64 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 64 38 71 26 85 13
65 Tert-Butylimido,Tris(EthylMethylamino)Tantalum, Ta[N(CH3)(C2H5)]3[=NC(CH3)3], TBTEMT 65 38 73 26 53 27
66 TDEAHf, (Et2N)4Hf, [(C2H5)2N]4Hf, Tetrakis(DiEthylAmido) Hafnium, Hafnium Diethylamide 66 38 54 36 38 33
67 NH3, Ammonia 67 38 36 60 48 29
68 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 68 37 32 64 37 34
69 Bis(N,N'-diisopropylacetamidinato)cobalt 69 37 -- -- 148 6
70 Bis(N,N'-di-t-butylacetamidinato)iron(II) 70 37 107 15 115 9
71 Nickel bis(N,N'-ditertialbutylacetamidinate), Dow Chemical AccuDEPTM Nickel 71 37 55 34 51 27
72 Al(OEt)3, Al(OC2H5)3, Aluminum Ethoxide, Aluminum Triethoxide, Triethoxyaluminum, Aluminium Triethanolate 72 35 220 3 157 6
73 3DMAB, TDMAB, (Me2N)3B, (CH3)2N)3B, Tris(dimethylamido)borane 73 35 146 10 60 23
74 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 74 34 144 10 128 8
75 t-Amylimidotris(dimethylamido)tantalum(V), (NtAm)(NMe2)3Ta, TAIMATA 75 34 208 4 218 3
76 Bis(N,N'-di-sec-butylacetamidinato)dicopper(I), copper(I)-N,N'-di-sec-butylacetamidinate 76 34 106 16 135 8
77 Molybdenum(V) chloride, [MoCl5]2 77 34 82 25 76 15
78 TDEAZr, tetrakis(diethylamido)zirconium, zirconium diethylamide, (Et2N)4Zr 78 34 113 14 65 19
79 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 79 34 57 32 70 17
80 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 80 34 56 34 111 10
81 Hyper-Sr, bis(tri-isopropylcyclopentadienyl)strontium with 1,2-demethoxy-ethane adduct, Sr(iPr3Cp)2DME 81 33 45 47 89 13
82 (ethylbenzene) (1,3-cyclohexadiene) Ru(0), EBCHDRu 82 32 79 26 36 35
83 Molybdenum hexacarbonyl, Mo(CO)6 83 32 62 29 57 24
84 Co(EtCp)2, Bis(EthylCyclopentadienyl) Cobalt(II) 84 32 179 6 164 5
85 Tert-Butylimido,Tris(diMEthylamino)Tantalum, Ta[N(CH3)2]3[=NC(CH3)3], TBTMET, TBTDMT 85 32 41 52 28 45
86 Niobium Ethoxide, Nb(OC2H5)5, Nb(OEt)5 86 32 39 56 87 13
87 VO(acac)2, VO(pd)2, Vanadyl acetylacetonate, oxobis(2,4-pentanedionato)vanadium(IV) 87 31 153 9 108 10
88 t-Butylferrocene, (TBF), (t-BuCp)2Fe 88 30 76 26 152 6
89 Bis(n-propyl tetramethyl cyclopentadienyl) barium, Ba[(n-Pr)(Me)4Cp]2 89 30 176 6 193 4
90 In(CH3)3, InMe3, TriMethyl Indium, TMI 90 30 53 37 62 22
91 Chromium(III) acetylacetonate, Cr(C5H7O2)3, Cr(acac)3 91 30 119 13 109 10
92 Trimethyl phosphate, TMP, (MeO)3PO 92 30 74 26 61 23
93 B(OMe)3, Trimethyl borate, Boric acid trimethyl ester, Methyl borate 93 29 58 32 78 15
94 (Trimethyl)methylcyclopentadienylplatinum, MeCpMe3Pt 94 29 78 26 69 17
95 Fe(TMHD)3, Fe(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) iron, Iron(III) dipivaloylmethanate 95 28 154 9 121 9
96 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 96 27 99 17 75 15
97 H2, Hydrogen 97 27 61 31 171 5
98 TDEAV, (Et2N)4V, [(C2H5)2N]4V, Tetrakis(DiEthylAmido) Vanadium, Vanadium Diethylamide 98 27 217 4 -- --
99 Bis(DiMethylAmido)Silane, (Me2N)2SiH2, BDMAS 99 26 162 8 153 6
100 LiOt-Bu, LiOtBu, LTB, Lithium tert-butoxide, Lithium tertiary butoxide, LiOC4H9 100 26 40 53 39 31


Top Film Searches

This table shows the top 100 film searches for 2021, 2022, and 2023.

Growing interest with at least 50% more views than the previous year.

Decreasing interest with at least 50% fewer views that the previous year.

2023 Rank Film 2023 Rank 2023 Count 2022 Rank 2022 Count 2021 Rank 2021 Count
1 SiO2 1 256 1 149 4 125
2 SiNx 2 165 3 142 6 94
3 NbN 3 149 6 108 3 131
4 TiN 4 115 2 146 5 101
5 Al2O3 5 102 7 97 7 90
6 AlN 6 90 5 139 1 243
7 GaN 7 70 4 141 2 151
8 HfO2 8 67 8 84 8 74
9 TiO2 9 53 9 62 51 12
10 SiC 10 46 10 61 16 30
11 AlON 11 43 15 37 20 23
12 SnO2 12 42 37 21 32 17
13 Ru 13 41 20 34 28 18
14 HfZrO2 14 39 21 34 14 38
15 HfNx 15 36 46 16 46 13
16 TaNx 16 36 11 50 17 28
17 Ag 17 35 16 37 11 49
18 ZnO 18 34 13 45 35 15
19 NiOx 19 33 27 27 63 9
20 Ga2O3 20 30 14 44 9 68
21 MoOx 21 27 34 23 79 7
22 MoN 22 26 22 33 56 11
23 Al 23 24 39 20 34 16
24 ZrN 24 23 32 24 54 12
25 SiON 25 23 25 29 19 24
26 IGZO 26 23 29 26 25 18
27 Ta2O5 27 23 43 17 23 19
28 Ni 28 22 24 30 48 13
29 Cu 29 21 35 22 13 41
30 In2O3 30 21 52 13 64 9
31 WCN 31 21 19 35 10 60
32 C 32 21 131 2 -- --
33 BN 33 20 12 48 12 48
34 Nb2O5 34 20 54 12 73 8
35 Mo 35 20 53 13 29 17
36 ZrO2 36 20 33 23 15 34
37 TiSiN 37 20 40 19 37 15
38 Co 38 18 30 25 31 17
39 GaP 39 18 38 20 24 19
40 HfON 40 17 57 11 74 8
41 SiCOH 41 17 79 6 68 9
42 TiC 42 17 55 12 55 11
43 LiPON 43 17 99 5 59 10
44 BaO 44 16 71 7 65 9
45 Y2O3 45 15 17 36 42 14
46 Pt 46 15 18 35 36 15
47 Ti 47 14 63 9 94 6
48 NbTiN 48 14 28 27 18 26
49 HfAlOx 49 14 76 6 148 2
50 RuO2 50 13 23 31 22 22
51 B2O3 51 12 80 6 72 8
52 BaTiO3 52 12 112 4 47 13
53 WN 53 12 56 12 104 5
54 YSZ 54 11 47 15 108 5
55 Si 55 11 45 16 149 2
56 Ir 56 11 48 14 78 7
57 Nb 57 11 60 10 83 7
58 IrO2 58 10 41 19 80 7
59 MoS2 59 10 44 16 27 18
60 WC 60 10 92 5 93 6
61 WS2 61 10 26 28 50 12
62 SiAlN 62 10 130 2 141 3
63 Au 63 10 105 4 52 12
64 VOx 64 10 49 14 44 14
65 Ta 65 10 125 3 43 14
66 TiON 66 10 81 6 95 6
67 HfSiOx 67 10 88 5 117 4
68 RuTaN 68 9 108 4 160 1
69 SrO 69 9 139 2 -- --
70 HfZrSiO 70 9 73 7 100 5
71 AlF 71 9 70 7 102 5
72 W 72 9 50 14 26 18
73 VN 73 9 72 7 81 7
74 InN 74 9 78 6 41 14
75 Did Not Work 75 8 58 11 33 16
76 MoCN 76 8 69 7 110 5
77 Fe2O3 77 8 42 18 127 4
78 TaCN 78 8 103 4 101 5
79 CeO2 79 8 114 3 96 6
80 WO3 80 7 36 21 21 23
81 La2O3 81 7 89 5 123 4
82 InGaN 82 7 31 24 49 12
83 TiCN 83 7 65 8 45 13
84 HfTiN 84 7 111 4 62 10
85 TaCx 85 6 97 5 133 3
86 Plasma Study 86 6 84 6 130 4
87 TiSiO 87 6 93 5 140 3
88 CoN 88 6 189 1 169 1
89 ZnSnO 89 6 -- -- 185 1
90 SiCxNy 90 6 75 7 89 6
91 Graphene 91 6 66 8 112 5
92 ZrON 92 6 150 2 -- --
93 POx 93 5 128 3 180 1
94 TiAlN 94 5 95 5 39 15
95 Cr2O3 95 5 87 6 53 12
96 HfLaOx 96 5 148 2 146 2
97 SnON 97 5 146 2 -- --
98 Diamond 98 4 -- -- -- --
99 TiMoN 99 4 116 3 -- --
100 Pd 100 4 118 3 157 2


Top Hardware Searches

This table shows the top 100 hardware searches for 2021, 2022, and 2023.

Growing interest with at least 50% more views than the previous year.

Decreasing interest with at least 50% fewer views that the previous year.

2023 Rank Hardware 2023 Rank 2023 Count 2022 Rank 2022 Count 2021 Rank 2021 Count
1 ASM Eagle XP8 1 204 5 100 5 69
2 ASM Microchemistry F-120 2 200 1 204 2 162
3 Applied Materials TxZ chamber 3 104 6 93 18 26
4 Veeco - Ultratech - Cambridge NanoTech Fiji 4 103 3 111 3 95
5 ASM Eagle 12 5 97 4 104 4 85
6 Picosun R200 6 84 2 123 1 166
7 Applied Materials 300mm ALD 7 77 7 78 20 23
8 Beneq TFS-200 8 76 9 58 6 52
9 Picosun SUNALE R-150B 9 65 8 66 7 51
10 ASM Pulsar 3000 10 55 11 50 67 2
11 Oxford Instruments FlexAL 11 50 13 47 11 38
12 ASM EmerALD 12 47 15 38 12 36
13 Custom Microwave Plasma 13 45 22 29 17 27
14 ASM Genitech PEALD 14 44 10 56 10 41
15 Lam ALTUS 15 41 14 43 15 29
16 Aixtron Genus Stratagem 200 16 37 18 33 9 43
17 Angstrom-dep III PEALD 17 36 16 36 8 47
18 Quros Plus 200 18 33 12 49 13 36
19 CN1 Atomic Premium 19 29 27 17 59 5
20 Oxford Instruments OpAL 20 25 19 33 14 35
21 Applied Materials Volta 21 23 21 29 28 16
22 Altatech AltaCVD 22 22 33 14 19 24
23 Quros Plus 150 23 22 20 30 25 19
24 Custom 24 21 41 9 71 2
25 ASM A400 25 20 23 29 16 29
26 Beneq P800 26 19 39 10 51 6
27 Beneq TFS-500 27 19 25 20 29 15
28 SENTECH 28 18 31 15 34 12
29 Veeco - Ultratech - Cambridge NanoTech Savannah 29 16 28 16 21 22
30 Applied Materials Producer GTTM 30 15 17 35 27 17
31 SNTEK Co. ALD 5008 31 13 32 14 23 21
32 Daeki Hi-Tech 32 13 24 22 31 12
33 Custom Direct Capacitively Coupled Plasma 33 13 36 11 58 5
34 Applied Materials P-5000 Mark II 34 12 26 17 48 7
35 Beneq TFS-200R 35 10 51 6 40 9
36 Kurt J Lesker ALD-150LX 36 8 43 9 36 10
37 iOV d100, iSAC Co. Ltd. 37 7 73 2 62 4
38 FHR-300-ALD 38 7 42 9 66 3
39 Custom Rotary 39 7 63 4 61 4
40 iOV d150, iSAC Co. Ltd. 40 7 53 6 63 4
41 Kurt J Lesker ALD-150L 41 7 35 12 33 12
42 Custom Remote 42 7 -- -- 73 1
43 Unknown 43 7 72 2 50 6
44 Quros 100 44 7 50 6 52 6
45 Beneq P400A 45 7 64 4 38 9
46 Custom Remote Inductively Coupled Plasma 46 6 38 11 74 1
47 Arradiance GEMStar-6 47 6 46 8 24 21
48 PEALD-200A, Jiaxing Kemicro Microelectronic 48 6 65 4 46 7
49 Oxford Instruments Plasmalab 100 49 6 47 7 44 8
50 Oxford Instruments Atomfab 50 6 76 1 -- --
51 ForALL OZONE 51 5 30 15 30 15
52 Ever-tek model Plus 100 52 5 29 15 41 9
53 SVT Associates 53 5 58 4 65 4
54 TEL Phoenix CVD cluster tool 54 5 55 5 26 18
55 ASM Pulsar 2000 55 5 34 13 37 10
56 Novellus Systems INOVA xT 56 5 61 4 53 5
57 Kemicro PEALD-150 57 5 40 10 64 4
58 ASM Genitech MP-1000 58 5 44 9 32 12
59 Arradiance GEMStar XT 59 4 57 5 35 11
60 ASM Eagle 12 60 4 -- -- -- --
61 FHR-150-ALD 61 4 71 2 70 2
62 Joosung Engineering 62 4 54 5 57 5
63 Synos PEALD 63 3 78 1 55 5
64 Veeco NEXUS 64 3 37 11 45 8
65 Custom Hot-wire 65 3 74 2 -- --
66 Simulation 66 3 59 4 47 7
67 Custom Batch 67 3 -- -- -- --
68 Custom ECR 68 3 60 4 22 21
69 Custom Spatial 69 2 75 1 72 2
70 Ensure LabNano PE 70 2 48 7 54 5
71 Eugene Technology Cardinal 71 2 62 4 56 5
72 ASM Microchemistry F-120 72 2 84 1 -- --
73 ASM Genitech PEALD 73 2 80 1 -- --
74 Ensure Scientific Group AutoALD-PE V2.0 74 2 45 8 39 9
75 NCD Technology Lucida MP-100 75 2 66 3 69 2
76 Custom Direct Inductively Coupled Plasma 76 2 67 3 -- --
77 Leintech LTSR-150 77 2 85 1 43 9
78 KEMICRO PEALD-200A 78 1 56 5 68 2
79 JSW-Afty AFTEX-600 79 1 52 6 49 6


Top 'Where to Buy' Chemical Searches

This table shows the top 100 'Where to Buy' Chemical searches for 2021, 2022, and 2023.

Growing interest with at least 50% more views than the previous year.

Decreasing interest with at least 50% fewer views that the previous year.

2023 Rank 'Where to Buy' Chemical Links 2023 Rank 2023 Count 2022 Rank 2022 Count 2021 Rank 2021 Count
1 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 1 228 3 92 3 58
2 TiCl4, Titanium Tetrachloride 2 207 1 443 1 375
3 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 3 153 2 149 4 54
4 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 4 65 6 44 5 51
5 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 5 60 24 19 115 1
6 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 6 54 5 55 8 42
7 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 7 52 15 25 18 13
8 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 8 51 19 22 37 8
9 AlCl3, Aluminum Trichloride 9 51 28 17 11 24
10 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 10 51 32 14 44 7
11 Molybdenum hexacarbonyl, Mo(CO)6 11 47 29 17 85 3
12 Bis(n-propyl tetramethyl cyclopentadienyl) barium, Ba[(n-Pr)(Me)4Cp]2 12 43 49 9 -- --
13 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 13 42 25 19 50 6
14 Fe(TMHD)3, Fe(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) iron, Iron(III) dipivaloylmethanate 14 42 40 13 100 2
15 copper(II) hexafluoroacetylacetonate, Cu(HFAC)2 15 39 73 6 102 2
16 Hafnium Tetrachloride, HfCl4 16 38 27 18 14 15
17 Nickel (II) acetylacetonate, anhydrous 17 37 129 2 110 1
18 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 18 35 88 5 -- --
19 Neopentasilane, (SiH3)4Si, NPS 19 34 131 2 109 1
20 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) yttrium Y(tmhd)3 20 33 115 3 90 2
21 Iron(III) t-butoxide, [Fe(OtBu)3]2 21 33 34 14 89 2
22 BDIPADS, 1,2-Bis(diisopropylamino)disilane 22 33 16 24 51 5
23 Tris(DiMethylAmido)Antimony, Sb[(CH3)2N]3, TDMASb 23 33 94 5 117 1
24 TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3] 24 32 54 8 86 3
25 Bis(N,N'-di-t-butylacetamidinato)iron(II) 25 31 104 4 106 1
26 tris(bis[trimethylsilyl]amido) lanthanum, La[N(TMS)2]3 26 30 136 2 103 2
27 Bis(cyclopentadienyl)nickel, Nickelocene, Cp2Ni 27 30 76 6 48 6
28 Ta(OC2H5)5, Ta(OEt)5, Tantalum(V) ethoxide 28 29 41 13 84 3
29 In(CH3)3, InMe3, TriMethyl Indium, TMI 29 29 8 39 29 10
30 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 30 29 23 20 97 2
31 t-Amylimidotris(dimethylamido)tantalum(V), (NtAm)(NMe2)3Ta, TAIMATA 31 29 150 1 62 4
32 Titanium(IV) methoxide, Ti(OMe)4 32 28 113 3 93 2
33 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 33 28 7 43 40 8
34 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 34 27 9 38 2 104
35 Tin(IV) t-butoxide, Sn(OtBu)4, Sn(OC4H9)4 35 27 87 5 112 1
36 Bis(t-butylimido)bis(dimethylamino)molybdenum 36 26 145 1 -- --
37 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 37 26 13 27 13 16
38 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 38 25 43 11 30 10
39 TEB, BEt3, B(C2H5)3, TriEthylBoron, TriEthylBorane 39 25 11 29 24 11
40 Tris(dimethylamino)chlorosilane, 3DMASiCl 40 25 90 5 120 1
41 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 41 24 31 15 25 10
42 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 42 23 36 13 28 10
43 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 43 23 22 21 31 10
44 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 44 23 10 34 26 10
45 ATSB, Al(OsBu)3, Al(OCHMeEt)3, Al[OCH(CH3)C2H5]3, Aluminum tri-sec-butoxide 45 23 12 29 53 5
46 TASn, TetraAllylTin, (H2C=CHCH2)2Sn 46 22 92 5 -- --
47 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 47 21 39 13 82 3
48 Tert-Butylimido,Tris(EthylMethylamino)Tantalum, Ta[N(CH3)(C2H5)]3[=NC(CH3)3], TBTEMT 48 21 82 5 114 1
49 DMZ, DiMethylZinc, ZnMe2, Zn(CH3)2 49 21 79 6 60 4
50 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 50 19 4 62 10 26
51 Bis(triisopropyl cyclopentadienyl) barium, Ba(iPr3Cp)2 51 19 67 7 58 4
52 Bis(ethylcyclopentadienyl)hafnium(IV) dichloride, Hf(C2H5C5H4)2Cl2, Hf(EtCp)2Cl2 52 18 119 3 76 3
53 tris(methylcyclopentadienyl)gadolinium Gd(MeCp)3 53 18 74 6 75 3
54 Titanium ethoxide, Ti(OEt)4 54 18 57 8 36 8
55 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) 55 18 17 24 16 14
56 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 56 17 51 9 20 12
57 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 57 16 44 11 61 4
58 DEZ, diethyl zinc, ZnEt2 58 15 21 21 15 14
59 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 59 14 48 10 22 11
60 H2, Hydrogen 60 13 53 9 17 13
61 Al(OEt)3, Al(OC2H5)3, Aluminum Ethoxide, Aluminum Triethoxide, Triethoxyaluminum, Aluminium Triethanolate 61 13 37 13 77 3
62 dicobalt octacarbonyl, Co2(CO)8 62 13 100 4 55 5
63 Y(iPrCp)3, Yttrium tris(isopropylcyclopentadienyl) 63 12 26 18 78 3
64 aluminum isopropoxide, Al(O-i-Pr)3 64 12 83 5 -- --
65 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide 65 12 77 6 42 7
66 tris(isopropylcyclopentadienyl) cerium, Ce(i-PrCp)3 66 11 96 5 73 3
67 Co(TMHD)2, Co(THD)2, bis(2,2,6,6-tetramethyl-3,5-heptanedionato) cobalt(II), Cobalt dipivaloylmethanate 67 11 141 2 -- --
68 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 68 11 18 23 7 42
69 Ir(acac)3, Iridium acetylacetonate, Iridium pentanedione 69 10 50 9 23 11
70 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 70 10 20 22 9 34
71 LiOt-Bu, LiOtBu, LTB, Lithium tert-butoxide, Lithium tertiary butoxide, LiOC4H9 71 10 42 12 65 4
72 Bis(N-t-butyl-N'-ethylpropanimidamidato) cobalt(II) 72 9 60 7 -- --
73 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 73 9 69 7 56 5
74 Di(Tert-Butyl)Telluride, But2Te 74 9 86 5 99 2
75 t-Butylferrocene, (TBF), (t-BuCp)2Fe 75 9 47 10 121 1
76 LiHMDS, Lithium hexamethyldisilazide, Lithium bis(trimethylsilyl)amide, LiN(SiMe3)2 76 8 65 7 -- --
77 Chromium(III) acetylacetonate, Cr(C5H7O2)3, Cr(acac)3 77 7 114 3 88 3
78 cobaltacene, bis(cyclopentadienyl)cobalt, Cp2Co 78 7 75 6 107 1
79 TDEAHf, (Et2N)4Hf, [(C2H5)2N]4Hf, Tetrakis(DiEthylAmido) Hafnium, Hafnium Diethylamide 79 7 106 4 35 8
80 TDMAGe, (Me2N)4Ge, [(CH3)2N]4Ge, Tetrakis(DiMethylAmido) Germanium, Germanium Dimethylamide 80 7 81 6 72 4
81 (tert-butylimino)bis(diethylamino)cyclopentadienyltantalum 81 7 102 4 -- --
82 Nickel bis(N,N'-ditertialbutylacetamidinate), Dow Chemical AccuDEPTM Nickel 82 7 46 10 49 6
83 copper(II) acetylacetonate, bis(2,4-pentanedionato) copper(II), cupric acetylacetonate, Cu(acac)2 83 6 99 4 116 1
84 Nb(N-tBu)(NMeEt)3, TBTMEN 84 6 133 2 95 2
85 Hexachlorodisilane, Si2Cl6 85 6 61 7 94 2
86 DIPTe, DiIsoPropylTelluride, Te(iPr)2 86 6 148 1 -- --
87 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 87 6 89 5 43 7
88 TEG, triethyl galium, GaEt3 88 6 80 6 59 4
89 TMG, trimethyl galium, GaMe3 89 6 45 11 34 8
90 Cyclopentadienylindium(I), InCp 90 6 72 6 70 4
91 3DMAAl, (Me2N)3Al, (Me2N)6Al2, Tris (DiMethylAmido) Aluminum(III) 91 6 138 2 47 6
92 TEMATi, (EtMeN)4Ti, [(C2H5)(CH3)N]4Ti, Tetrakis(EthylMethylAmido) Titanium, Titanium Ethylmethylamide 92 6 109 3 98 2
93 DMAD, [3-(Dimethylamino)propyl](dimethyl) aluminium 93 6 127 2 63 4
94 Bis(N,N'-di-sec-butylacetamidinato)dicopper(I), copper(I)-N,N'-di-sec-butylacetamidinate 94 6 78 6 41 7
95 Dicarbonylcyclopentadienylcobalt, CpCo(CO)2 95 6 108 4 81 3
96 TDEAZr, tetrakis(diethylamido)zirconium, zirconium diethylamide, (Et2N)4Zr 96 5 140 2 68 4
97 LaCp3, Tris(cyclopentadienyl) lanthanum 97 5 142 1 -- --
98 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 98 5 117 3 -- --
99 HfTB, Hf[OC(Me)3]4, Hf[OC(CH3)3]4, Hafnium tetra-tert-butoxide 99 5 147 1 101 2
100 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 100 5 35 13 83 3