Oxford Instruments FlexAL Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Oxford Instruments FlexAL hardware returned 160 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Comparative study of ALD SiO2 thin films for optical applications
2Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
3The important role of water in growth of monolayer transition metal dichalcogenides
4Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
5Plasma-enhanced atomic layer deposition of BaTiO3
6Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
7Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
8Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
9Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
10Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
11Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
12Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
13Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
14Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
15Topographically selective deposition
16Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
17Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
18Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
19Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
20Sub-10-nm ferroelectric Gd-doped HfO2 layers
21Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
22Atomic Layer Deposition of the Conductive Delafossite PtCoO2
23Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
24Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
25Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
26Silicon nanowire lithium-ion battery anodes with ALD deposited TiN coatings demonstrate a major improvement in cycling performance
27Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
28Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
29Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
30Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
31Propagation Effects in Carbon Nanoelectronics
32Atomic layer deposition TiO2-Al2O3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors
33Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
34Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
35Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
36Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
37Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
38Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
39Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
40On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
41Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
42Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
43NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
44Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
45Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
46Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
47Top-down fabricated ZnO nanowire transistors for application in biosensors
48Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
49Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
50Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
51Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
521D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
53Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
54Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
55Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
56Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
57Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
58Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
59On the Contact Optimization of ALD-Based MoS2 FETs: Correlation of Processing Conditions and Interface Chemistry with Device Electrical Performance
60Energy-enhanced atomic layer deposition for more process and precursor versatility
61Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
62Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
63Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
64Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
65Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
66Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
67Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
68Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
69Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
70Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
71Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
72Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
73Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
74Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
75Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
76Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
77Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
78Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
79Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
80Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
81Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
82Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
83Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
84Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
85Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
86Microwave properties of superconducting atomic-layer deposited TiN films
87Order of Dry and Wet Mixed-Length Self-Assembled Monolayers
88Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
89The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
90Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
91The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
92Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
93Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
94Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
95Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
96Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
97Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
98A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
99Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
100Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
101Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
102Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
103Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
104Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
105Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
106High-κ insulating materials for AlGaN/GaN metal insulator semiconductor heterojunction field effect transistors
107Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
108Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
109Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
110Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
111Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
112Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
113Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
114Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
115Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
116Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
117Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
118Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
119Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
120Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
121A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
122Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
123A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
124Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
125Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
126Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
127Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
128Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
129Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
130Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
131In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
132Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma
133Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
134Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
135Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
136Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
137Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
138Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
139Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
140Densification of Thin Aluminum Oxide Films by Thermal Treatments
141Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
142High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
143Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
144Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
145Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
146Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
147Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
148Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
149Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
150Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
151Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
152The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
153Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
154PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
155Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
156Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
157Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
158Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
159Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions