Oxford Instruments FlexAL Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Oxford Instruments FlexAL hardware returned 160 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
2Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
3The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
4Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
5Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
6Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
7Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
8Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
9Top-down fabricated ZnO nanowire transistors for application in biosensors
10Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
11Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
12Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
13Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
14Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
15Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
16Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
17Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
18Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
19Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
20PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
21Comparative study of ALD SiO2 thin films for optical applications
22In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
23Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
24Topographically selective deposition
25Energy-enhanced atomic layer deposition for more process and precursor versatility
26Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
27Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
28Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
29High-κ insulating materials for AlGaN/GaN metal insulator semiconductor heterojunction field effect transistors
30Propagation Effects in Carbon Nanoelectronics
31Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
32Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
33Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
34Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
35Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
361D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
37Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
38Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
39Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
40Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
41Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
42Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
43Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
44Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
45Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
46Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
47Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
48NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
49The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
50Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
51Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
52Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
53Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
54Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
55Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
56Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
57Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
58Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
59Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
60Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
61Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
62Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
63Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
64Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
65Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
66Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
67Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
68A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
69Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
70High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
71Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
72Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
73Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
74Atomic layer deposition TiO2-Al2O3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors
75Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
76Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
77Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
78Microwave properties of superconducting atomic-layer deposited TiN films
79Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
80Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
81Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
82Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
83Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
84Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
85Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
86Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
87Silicon nanowire lithium-ion battery anodes with ALD deposited TiN coatings demonstrate a major improvement in cycling performance
88Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
89Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
90Atomic Layer Deposition of the Conductive Delafossite PtCoO2
91Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
92A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
93Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
94Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
95Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
96Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
97Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
98Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
99Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma
100Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
101Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
102Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
103Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
104Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
105Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
106Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
107The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
108The important role of water in growth of monolayer transition metal dichalcogenides
109On the Contact Optimization of ALD-Based MoS2 FETs: Correlation of Processing Conditions and Interface Chemistry with Device Electrical Performance
110Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
111Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
112Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
113Sub-10-nm ferroelectric Gd-doped HfO2 layers
114Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
115Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
116Densification of Thin Aluminum Oxide Films by Thermal Treatments
117Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
118Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
119Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
120Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
121Plasma-enhanced atomic layer deposition of BaTiO3
122Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
123Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
124Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
125Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
126Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
127Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
128Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
129Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
130Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
131Order of Dry and Wet Mixed-Length Self-Assembled Monolayers
132Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
133Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
134Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
135Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
136Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
137Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
138Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
139Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
140Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
141Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
142Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
143Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
144Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
145Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
146Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
147Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
148Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
149Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
150Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
151Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
152Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
153Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
154Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
155Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
156A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
157Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
158Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
159On the role of nanoporosity in controlling the performance of moisture permeation barrier layers