Oxford Instruments FlexAL Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Oxford Instruments FlexAL hardware returned 160 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
2Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
3Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
4Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
5Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
6Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
7Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
8Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
9Atomic Layer Deposition of the Conductive Delafossite PtCoO2
10Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
11Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
12Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
13Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
14Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
15The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
16A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
17Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
18Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
19Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
20Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
21Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
22Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
23In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
24Comparative study of ALD SiO2 thin films for optical applications
25Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
26Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
27Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
28Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
29Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
30Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
31Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
32Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
33NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
34Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
35Microwave properties of superconducting atomic-layer deposited TiN films
36Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
37Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
38Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
39Order of Dry and Wet Mixed-Length Self-Assembled Monolayers
40Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
41PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
42Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
43Topographically selective deposition
44Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
45Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
46Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
47Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
48Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
49Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
50Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
51Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
52Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
53Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
54Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
55On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
56The important role of water in growth of monolayer transition metal dichalcogenides
57Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
58Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
59High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
60Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
61Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
62Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
63A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
64Silicon nanowire lithium-ion battery anodes with ALD deposited TiN coatings demonstrate a major improvement in cycling performance
65Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
66Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
67Plasma-enhanced atomic layer deposition of BaTiO3
68Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
69Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
70Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma
71Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
72Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
73Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
74Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
75Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
76Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
77Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
78Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
79Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
80Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
81Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
82Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
83Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
84Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
85Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
86Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
87Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
88The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
89Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
90Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
91On the Contact Optimization of ALD-Based MoS2 FETs: Correlation of Processing Conditions and Interface Chemistry with Device Electrical Performance
921D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
93Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
94Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
95Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
96Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
97Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
98Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
99Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
100Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
101Sub-10-nm ferroelectric Gd-doped HfO2 layers
102Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
103Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
104Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
105Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
106Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
107Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
108Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
109Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
110The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
111Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
112Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
113Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
114Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
115Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
116Densification of Thin Aluminum Oxide Films by Thermal Treatments
117Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
118Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
119Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
120Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
121Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
122Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
123Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
124Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
125Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
126Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
127A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
128Top-down fabricated ZnO nanowire transistors for application in biosensors
129Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
130Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
131Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
132Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
133Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
134Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
135Propagation Effects in Carbon Nanoelectronics
136Atomic layer deposition TiO2-Al2O3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors
137High-κ insulating materials for AlGaN/GaN metal insulator semiconductor heterojunction field effect transistors
138Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
139Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
140Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
141Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
142Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
143Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
144Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
145Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
146Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
147Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
148Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
149Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
150Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
151Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
152Energy-enhanced atomic layer deposition for more process and precursor versatility
153Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
154Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
155Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
156Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
157Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
158Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
159Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma