www.plasma-ald.com 2022 Year in Review


Introduction

The www.plasma-ald.com website hosts an easily searchable database of publications which discuss thin films derived from plasma enhanced atomic layer deposition.

The publication database currently has 1700 entries.

For each publication, numerous details of the plasma ALD usage are entered into the database to allow the publication to be found through various searches. The primary search categories include:

An advanced search page allows users to simulateously search on multiple criteria and narrow their search with additional publication details:

  • Deposition Temperature
  • Author Name and Affiliation
  • Characterization Analyses and Equipment
  • Substrate Material

There are also links to "Where to Buy" many of the precursors wherever those chemicals are discussed.

This review reports on the website visitor volume in addition to the most popular searches and viewed pages on the website during 2022.

The information presented is derived from analyzing the web server logs with custom Python code utilizing the open source data analysis library, pandas. This analysis differs from last year which relied on Google Analytics data. Substantial efforts are made to remove all traffic not attributable to real visitors. To gain any trend insights, the 2021 data would need to be reanalyzed with the same code. I may get around to this eventually. There could be bugs in my code that impact the results. If I find any, I will fix them and update the review data if necessary.

Analysis Revision 1.0 2023-02-09



Visitors

The website had 17,720 monthly visitors in 2022 with 1255 – 1672 visitors per month.

www.plasma-ald.com 2022 Monthly Visitor Plot



There was over 40,000 pageviews in 2022.

Top Viewed Pages

Rank Page Views
1 www.plasma-ald.com Homepage 4824
2 Precursor Chemistry List 1232
3 Film Composition List 881
4 BDEASi, SAM-24 Publication List 740
5 Multisearch 506
6 HfCp(NMe2)3, Air Liquide HyALDTM Publication List 460
7 "Where to Buy" TiCl4 List 452
8 Plasma ALD Hardware List 423
9 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS, CAS# 908831-34-5 Publication List 379
10 Contact Information Page 347
11 Search by Film Characteristics 334
12 2021 Year in Review 283
13 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3 Publication List 239
14 Lists of On-Line PEALD Theses 225
15 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3, CAS# 75-24-1 Publication List 208
16 TDMASn, (Me2N)4Sn, Tetrakis(DiMethylAmido) Tin Publication List 204
17 Pentamethyl cyclopentadienyl titanium, trimethoxide Ti(CpMe5)(OMe)3 Publication List 202
18 ASM Microchemistry F-120 Publication List 201
19 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf, CAS# 352535-01-4 Publication List 183
20 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 Publication List 179
21 SiO2 Publication List 173
22 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti Publication List 167
23 Monthly Stats 167
24 AP-LTO 330 Publication List 157
25 ALD Links 156



www.plasma-ald.com All 2022 Statistics


Top Viewed Publications

Rank Page Views
1 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 96
2 Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition 74
3 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 70
4 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 64
5 Optical in situ monitoring of plasma-enhanced atomic layer deposition process 60
6 Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices 57
7 Atomic layer deposition of GaN at low temperatures 56
8 A route to low temperature growth of single crystal GaN on sapphire 55
9 The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films 53
10 Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma 51
11 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 51
12 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 45
13 Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma 44
14 Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors 44
15 Comparative study of ALD SiO2 thin films for optical applications 43
16 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 41
17 Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition 41
18 Atomic layer deposition of titanium nitride from TDMAT precursor 41
19 Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum 40
20 Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process 38
21 Designing high performance precursors for atomic layer deposition of silicon oxide 38
22 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 38
23 Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition 38
24 Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor 38
25 Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor 37
26 Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition 37
27 Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing 35
28 All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process 35
29 Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films 34
30 Atomic Layer Deposition of Niobium Nitride from Different Precursors 34
31 Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants 34
32 Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient 34
33 Ag films grown by remote plasma enhanced atomic layer deposition on different substrates 34
34 Breakdown and Protection of ALD Moisture Barrier Thin Films 33
35 Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application 33
36 Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition 32
37 Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization 32
38 Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma 32
39 Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation 32
40 Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET 32
41 Plasma-enhanced atomic layer deposition of superconducting niobium nitride 30
42 Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study 30
43 Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates 29
44 Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection 29
45 Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM 29
46 Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators 28
47 Innovative remote plasma source for atomic layer deposition for GaN devices 28
48 Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia 28
49 Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect 28
50 Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films 28
51 IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer 28
52 Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices 27
53 Combined PEALD Gate-Dielectric and In-Situ SiN Cap-Layer for Reduced Vth Shift and RDS-ON Dispersion of AlGaN/GaN HEMTs on 200 mm Si Wafer 27
54 Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration 27
55 'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition 26
56 Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide 26
57 Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition 26
58 Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu 25
59 Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene 25
60 Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films 24
61 A Silicon Nitride MIM Capacitor for Analog/Mixed-Signal Integrated Circuit using Manufacturable Atomic Layer Deposition Equipment 24
62 Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate 24
63 A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs 24
64 A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films 24
65 Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors 24
66 Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications 24
67 Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions 24
68 Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition 23
69 A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors 23
70 A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films 23
71 Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy 22
72 Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma 22
73 Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride 22
74 Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride 22
75 Atomic layer deposition of TiN for the fabrication of nanomechanical resonators 22
76 Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx 22
77 Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper 22
78 Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma 22
79 Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant 22
80 Plasma-Enhanced Atomic Layer Deposition of Ni 22
81 Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition 21
82 Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition 21
83 Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation 21
84 Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane 21
85 A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application 21
86 Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications 21
87 Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges 21
88 TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition 20
89 Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps 20
90 Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells 20
91 Plasma enhanced atomic layer deposition of SiNx:H and SiO2 20
92 Challenges in spacer process development for leading-edge high-k metal gate technology 20
93 A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect 19
94 High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma 19
95 Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies 19
96 A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition 19
97 Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting 19
98 Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma 19
99 Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition 19
100 Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia 19


Top Chemistry Searches

Rank Page CAS# Views
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 740
2 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 460
3 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 379
4 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 239
5 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 208
6 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 204
7 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 202
8 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 183
9 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 0-0-0 179
10 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 3275-24-9 167
11 AP-LTO 330 0-0-0 157
12 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 154
13 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn 0-0-0 151
14 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 146
15 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 144
16 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) 56792-69-9 124
17 DEZ, diethyl zinc, ZnEt2 557-20-0 115
18 TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3] 169896-41-7 96
19 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 95
20 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 93
21 (ethylbenzyl) (1-ethyl-1,4-cyclohexadienyl) Ru(0), EBECHRu 0-0-0 93
22 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 92
23 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 32992-96-4 87
24 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium 120441-92-1 86
25 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 83
26 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 791114-66-4 78
27 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 19824-59-0 76
28 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 0-0-0 72
29 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 70
30 Trisilylamine [N(SiH3)3] 13862-16-3 69
31 tris(isopropylcyclopentadienyl)lanthanum, (i-PrCp)3La 68959-87-5 68
32 dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C16H22O6Ru) 0-0-0 68
33 Bis(t-butylimido)bis(dimethylamino)molybdenum 923956-62-1 66
34 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 165461-74-5 62
35 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 15112-89-7 61
36 Tert-Butylimido,Tris(diMEthylamino)Tantalum, Ta[N(CH3)2]3[=NC(CH3)3], TBTMET, TBTDMT 69039-11-8 61
37 NH3, Ammonia 7664-41-7 58
38 Niobium Ethoxide, Nb(OC2H5)5, Nb(OEt)5 3236-82-6 58
39 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide 175923-04-3 56
40 Bis(ethylcyclopentadienyl)nickel, (EtCp)2Ni 31886-51-8 55
41 Nb(N-tBu)(NMeEt)3, TBTMEN 864150-47-0 51
42 LiOt-Bu, LiOtBu, LTB, Lithium tert-butoxide, Lithium tertiary butoxide, LiOC4H9 1907-33-1 48
43 Hyper-Sr, bis(tri-isopropylcyclopentadienyl)strontium with 1,2-demethoxy-ethane adduct, Sr(iPr3Cp)2DME 0-0-0 48
44 tris(isopropylcyclopentadienyl) cerium, Ce(i-PrCp)3 122528-16-9 48
45 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 1293-95-4 47
46 TiCl4, Titanium Tetrachloride 7550-45-0 46
47 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 45
48 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 721427-58-3 43
49 (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium [Ru(DMPD)(EtCp)] 0-0-0 43
50 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 1011514-41-2 41


Top Film Composition Searches

Rank Film Composition Views
1 SiO2 173
2 TiN 155
3 SiNx 141
4 AlN 139
5 GaN 126
6 NbN 106
7 Al2O3 98
8 HfO2 90
9 TiO2 66
10 SiC 64
11 TaNx 58
12 BN 48
13 WCN 43
14 AlON 38
15 Ru 38
16 ZnO 38
17 Y2O3 37
18 Ag 37
19 Ga2O3 34
20 Pt 33
21 MoN 32
22 Ni 31
23 RuO2 31
24 ZrN 30
25 ZrO2 30
26 HfZrO2 30
27 IGZO 27
28 SiON 27
29 WS2 27
30 NbTiN 26
31 NiOx 26
32 InGaN 25
33 WO3 25
34 Co 24
35 Cu 22
36 Al 21
37 TiSiN 20
38 IrO2 19
39 SnO2 19
40 HfNx 19
41 GaP 18
42 Si 18
43 Ta2O5 18
44 Did Not Work 18
45 MoOx 17
46 In2O3 17
47 Fe2O3 17
48 YSZ 15
49 TiC 15
50 Mo 15


Top "Where to Buy" Chemistry Searches

Rank Page CAS# Views
1 TiCl4, Titanium Tetrachloride 7550-45-0 452
2 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 131
3 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 78-10-4 86
4 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 56
5 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 14040-11-0 55
6 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 44
7 In(CH3)3, InMe3, TriMethyl Indium, TMI 3385-78-2 36
8 ATSB, Al(OsBu)3, Al(OCHMeEt)3, Al[OCH(CH3)C2H5]3, Aluminum tri-sec-butoxide 2269-22-9 32
9 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 165461-74-5 31
10 B(OMe)3, Trimethyl borate, Boric acid trimethyl ester, Methyl borate 121-43-7 30
11 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 26
12 TEB, BEt3, B(C2H5)3, TriEthylBoron, TriEthylBorane 97-94-9 26
13 BDIPADS, 1,2-Bis(diisopropylamino)disilane 151625-26-2 25
14 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 721427-58-3 25
15 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 23
16 DEZ, diethyl zinc, ZnEt2 557-20-0 23
17 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) 33012-33-8 23
18 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 22
19 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 22
20 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 15112-89-7 21
21 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 97-93-8 20
22 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 3275-24-9 20
23 Y(iPrCp)3, Yttrium tris(isopropylcyclopentadienyl) 130206-63-2 19
24 Hafnium Tetrachloride, HfCl4 13499-05-3 18
25 Molybdenum hexacarbonyl, Mo(CO)6 13939-06-5 17
26 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 14319-13-2 17
27 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 17
28 AlCl3, Aluminum Trichloride 7446-70-0 17
29 bis(cyclopentadienyl)Magnesium, MgCp2 1284-72-6 16
30 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 15
31 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 14
32 Iron(III) t-butoxide, [Fe(OtBu)3]2 620945-29-1 14
33 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 14
34 Al(OEt)3, Al(OC2H5)3, Aluminum Ethoxide, Aluminum Triethoxide, Triethoxyaluminum, Aluminium Triethanolate 555-75-9 14
35 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 1011514-41-2 14
36 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 14
37 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 13
38 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 19824-59-0 13
39 Ta(OC2H5)5, Ta(OEt)5, Tantalum(V) ethoxide 6074-84-6 12
40 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 1293-95-4 11
41 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 1287-13-4 11
42 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 791114-66-4 10
43 Nickel bis(N,N'-ditertialbutylacetamidinate), Dow Chemical AccuDEPTM Nickel 940895-79-4 10
44 t-Butylferrocene, (TBF), (t-BuCp)2Fe 1316-98-9 10
45 Bis(n-propyl tetramethyl cyclopentadienyl) barium, Ba[(n-Pr)(Me)4Cp]2 210758-43-3 10
46 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 9
47 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 9


Top Hardware Searches

Rank Hardware Views
1 ASM Microchemistry F-120 201
2 Veeco - Ultratech - Cambridge NanoTech Fiji 117
3 Picosun R200 110
4 ASM Eagle 12 104
5 ASM Eagle XP8 100
6 Applied Materials TxZ chamber 98
7 Applied Materials 300mm ALD 78
8 Picosun SUNALE R-150B 65
9 Beneq TFS-200 61
10 ASM Genitech PEALD 54
11 ASM Pulsar 3000 53
12 Quros Plus 200 50
13 Oxford Instruments FlexAL 46
14 Lam ALTUS 43
15 ASM EmerALD 40
16 Oxford Instruments OpAL 36
17 Angstrom-dep III PEALD 36
18 Quros Plus 150 33
19 Applied Materials Producer GTTM 30
20 Aixtron Genus Stratagem 200 30
21 Custom Microwave Plasma 29
22 Applied Materials Volta 28
23 ASM A400 27
24 Daeki Hi-Tech 22
25 Beneq TFS-500 21
26 Atomic Premium CN1 19
27 Veeco - Ultratech - Cambridge NanoTech Savannah 17
28 ForALL OZONE 16
29 Applied Materials P-5000 Mark II 16
30 ASM Pulsar 2000 15
31 Ever-tek model Plus 100 15
32 SENTECH 15
33 Altatech AltaCVD 14
34 SNTEK Co. ALD 5008 14
35 Custom Direct Capacitively Coupled Plasma 13
36 Kurt J Lesker ALD-150L 12
37 Veeco NEXUS 11
38 Custom Remote Inductively Coupled Plasma 11
39 Kemicro PEALD-150 11
40 Beneq P800 11
41 ASM Genitech MP-1000 10
42 FHR-300-ALD 9
43 Custom 9
44 Arradiance GEMStar-6 8
45 Ensure LabNano PE 8