Al2O3 Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications discussing Al2O3 films returned 478 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
2Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
3The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
4Anti-stiction coating for mechanically tunable photonic crystal devices
5Electronic Conduction Mechanisms in Insulators
6A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
7Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
8Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
9Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
10Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
11Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
12Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
13Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
14Improved understanding of recombination at the Si/Al2O3 interface
15Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
16A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
17Self-aligned ZnO thin-film transistors with 860 MHz fT and 2 GHz fmax for large-area applications
18Propagation Effects in Carbon Nanoelectronics
19Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
20Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
21Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
221D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
23Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN
24Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
25Lithium-Iron (III) Fluoride Battery with Double Surface Protection
26Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
27Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
28Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
29Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
30Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
31Flexible, light trapping substrates for organic photovoltaics
32Single-Cell Photonic Nanocavity Probes
33Fabrication and Characterization of an Extended-Gate AlGaN/GaN-Based Heterostructure Field-Effect Transistor-Type Biosensor for Detecting Immobilized Streptavidin-Biotin Protein Complexes
34Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
35Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
36Mechanical properties of thin-film Parylene-metal-Parylene devices
37Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
38'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
39PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
40Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
41Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
42Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
43Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
44Trapping and reliability issues in GaN-based MIS HEMTs with partially recessed gate
45Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
46Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
47Nitride passivation of the interface between high-k dielectrics and SiGe
48Reliability and failure physics of GaN HEMT, MIS-HEMT and p-gate HEMTs for power switching applications: Parasitic effects and degradation due to deep level effects and time-dependent breakdown phenomena
49Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
50Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
51Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
52Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
53Energy-enhanced atomic layer deposition for more process and precursor versatility
54Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
55Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
56Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
57Chemically-tunable ultrathin silsesquiazane interlayer for n-type and p-type organic transistors on flexible plastic
58Simultaneous Roll Transfer and Interconnection of Flexible Silicon NAND Flash Memory
59Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
60Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
61High-Reflective Coatings For Ground and Space Based Applications
62Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
63Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
64Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
65Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
66TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
67Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
68Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
69Device Performances Related to Gate Leakage Current in Al2O3/AlGaN/GaN MISHFETs
70Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
71AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
72Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
73Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
74Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
75High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
76Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
77Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
78Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
79Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
80Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
81Junction-less nanowire based photodetector: Role of nanowire width
82Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
83Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
84High-κ insulating materials for AlGaN/GaN metal insulator semiconductor heterojunction field effect transistors
85In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
86Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
87Band alignment of Al2O3 with (-201) β-Ga2O3
88Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
89Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
90Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
91Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
92Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
93Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
94AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
95Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
96Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
97Low-thermal budget flash light annealing for Al2O3 surface passivation
98DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
99Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
100Oxide TFT LC Oscillators on Glass and Plastic for Wireless Functions in Large-Area Flexible Electronic Systems
101Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
102Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
103Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
104Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
105Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
106Damage free Ar ion plasma surface treatment on In0.53Ga0.47As-on-silicon metal-oxide-semiconductor device
107Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
108Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
109Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
110Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
111Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
112Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
113Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
114Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
115Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
116Background-Free Bottom-Up Plasmonic Arrays with Increased Sensitivity, Specificity and Shelf Life for SERS Detection Schemes
117Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
118Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
119Damage evaluation in graphene underlying atomic layer deposition dielectrics
120Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
121Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
122Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
123Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
124Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
125Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
126Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
127Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
128Innovative remote plasma source for atomic layer deposition for GaN devices
129Symmetrical Al2O3-based passivation layers for p- and n-type silicon
130CMOS-compatible Replacement Metal Gate InGaAs-OI FinFET With ION= 156 μA/μm at VDD= 0.5 V and IOFF= 100 nA/μm
131Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
132Tribological properties of thin films made by atomic layer deposition sliding against silicon
133Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
134AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
135Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
136Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
137Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
138Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
139Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
140Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
141Patterned deposition by plasma enhanced spatial atomic layer deposition
142Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
143Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
144Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
145Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
146High-efficiency embedded transmission grating
147Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
148Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
14946-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
150Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
151Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
152Capacitance spectroscopy of gate-defined electronic lattices
153Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
154Al2O3/TiO2 nanolaminate gate dielectric films with enhanced electrical performances for organic field-effect transistors
155Lifetimes exceeding 1ms in 1-Ohm-cm boron-doped Cz-silicon
156Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
157Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
158Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
159Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
160In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
161Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
162Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
163In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
164Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
165Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
166Dynamic tuning of plasmon resonance in the visible using graphene
167Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
168Gate Insulator for High Mobility Oxide TFT
169Experimental verification of electro-refractive phase modulation in graphene
170Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
171Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
172Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
173Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
174Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
175Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
176Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
177Hafnia and alumina on sulphur passivated germanium
178Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
179Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
180Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
181Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
182Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
183Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
184Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
185Passivation of Al2O3/TiO2 on monocrystalline Si with relatively low reflectance
186Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
187Fiber-matrix interface reinforcement using Atomic Layer Deposition
188Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
189Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
190Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
191Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
192Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
193Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
194Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
195Optical display film as flexible and light trapping substrate for organic photovoltaics
196Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
197Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
198Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
199Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
200On the equilibrium concentration of boron-oxygen defects in crystalline silicon
201The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
202Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
203Residual stress study of thin films deposited by atomic layer deposition
204Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
205New grating concepts in the NIR and SWIR spectral band for high resolution earth-observation spectrometers
206Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
207On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
208Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
209Fluorinated polymer-grafted organic dielectrics for organic field-effect transistors with low-voltage and electrical stability
210Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
211Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
212Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
213Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
214Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
215Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
216Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
217Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
218Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
219Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
220Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
221Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
222Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
223Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
224Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
225Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
226A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
227Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
228An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
229Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
230Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
231Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure
232Breakdown and Protection of ALD Moisture Barrier Thin Films
233Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
234Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
235Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
236Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
237Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
238Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
239Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
240Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
241Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
242Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
243Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing
244Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
245Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
246Performance of AlGaN/GaN MISHFET using dual-purpose thin Al2O3 layer for surface protection and gate insulator
247Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
248Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
249Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
250Dynamic threshold voltage influence on Ge pMOSFET hysteresis
251Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
252Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
253Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
254Very high frequency plasma reactant for atomic layer deposition
255Electrical Characteristics of Multilayer MoS2 FET's with MoS2/Graphene Heterojunction Contacts
256Advances in the fabrication of graphene transistors on flexible substrates
257Fast Flexible Plastic Substrate ZnO Circuits
258Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
259Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
260Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
261Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
262Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
263On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
264Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
265Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
266Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
267Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
268AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
269Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
270RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
271Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
272Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
273Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
274Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
275First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
276Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
277Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
278A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
279Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
280Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
281Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
282Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
283Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
284The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
285High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
286Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
287Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
288Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
289On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
290Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
291Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
292Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
293Fast PEALD ZnO Thin-Film Transistor Circuits
294Passivation effects of atomic-layer-deposited aluminum oxide
295N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
296Trapped charge densities in Al2O3-based silicon surface passivation layers
297AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
298Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
299Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
300Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
301A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
302Liquid-phase-deposited siloxane-based capping layers for silicon solar cells
303Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
304Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
305Atomic Layer Deposition of Al2O3 Thin Films for Metal Insulator Semiconductor Applications on 4H-SiC
306Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
307Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
308Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
309Atomic layer deposition of metal-oxide thin films on cellulose fibers
310Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
311Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
312Graphene-based MMIC process development and RF passives design
313Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
314Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
315Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
316Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
317Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
318Method of Fabrication for Encapsulated Polarizing Resonant Gratings
319Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
320Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
321Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
322Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
323Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
324Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
325Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
326Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
327Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
328Optical in situ monitoring of plasma-enhanced atomic layer deposition process
329Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
330Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
331Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
332Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
333Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
334DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
335Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
336Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
337Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
338Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
339Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
340Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
341Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
342Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
343Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
344In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
345Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
346Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
347Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
348Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
349Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
350Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
351Fabrication and Characterization of Flexible Thin Film Transistors on Thin Solution-Cast Substrates
352Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
353Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
354Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
355Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
356Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
357Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
358Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
359Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
360Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
361SiNx passivated GaN HEMT by plasma enhanced atomic layer deposition
362Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
363Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
364Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
365Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
366Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
367Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
368The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
369Negative differential resistance in the I-V curves of Al2O3/AlGaN/GaN MIS structures
370Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
371Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
372Protective capping and surface passivation of III-V nanowires by atomic layer deposition
373Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
374Hanle-effect measurements of spin injection from Mn5Ge3C0.8/Al2O3-contacts into degenerately doped Ge channels on Si
375Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
376Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
377Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
378Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
379Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
380Atomic layer deposition TiO2-Al2O3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors
381Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
382Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
383Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
384Two-stage permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
385Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
386Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
387Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
388Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
389Detailed Atomistic Modeling of Si(110) Passivation by Atomic Layer Deposition of Al2O3
390A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
391Device performance tuning of Ge gate-all-around tunneling field effect transistors by means of GeSn: Potential and challenges
392On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
393Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
394Graphene photodetectors with a bandwidth >76 GHz fabricated in a 6" wafer process line
395Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
396Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
397A wearable multiplexed silicon nonvolatile memory array using nanocrystal charge confinement
398Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
399A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
400Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
401Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
402Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
403Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
404Low-Power Double-Gate ZnO TFT Active Rectifier
405Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
406Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
407A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
408High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
409Photo-Patternable ZnO Thin Films Based on Cross-Linked Zinc Acrylate for Organic/Inorganic Hybrid Complementary Inverters
410Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
411Theoretical and Experimental Investigation of Graphene/High-κ/p-Si Junctions
412On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
413Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
414Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
415Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
416Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
417Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
418Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
419Densification of Thin Aluminum Oxide Films by Thermal Treatments
420Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
421Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
422Plasma Enhanced Atomic Layer Deposition on Powders
423Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
424Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
425Comparison of passivation layers for AlGaN/GaN high electron mobility transistors
426Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
427Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
428Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
429Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
430Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
431Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
432Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
433Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
434Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
435MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
436Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
437Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
438Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
439Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
440Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
441Optimization of the Surface Structure on Black Silicon for Surface Passivation
442Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
443Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
444High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
445Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
446Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
447Impact of interface materials on side permeation in indirect encapsulation of organic electronics
448Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
449Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
450Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
451Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
452Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
453Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
454Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
455Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
456Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
457Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
458Controlling threshold voltage and leakage currents in vertical organic field-effect transistors by inversion mode operation
459A scaled replacement metal gate InGaAs-on-Insulator n-FinFET on Si with record performance
460MANOS performance dependence on ALD Al2O3 oxidation source
461Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
462Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
463Charge effects of ultrafine FET with nanodot type floating gate
464In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
465Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
466ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
467Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
468Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
469Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
470Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
471AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
472Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
473Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
474Improvement on the Passivation Effect of Al2O3 Layer Deposited by PA-ALD in Crystalline Silicon Solar Cells
475Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone