Veeco - Ultratech - Cambridge NanoTech Fiji Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Veeco - Ultratech - Cambridge NanoTech Fiji hardware returned 263 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
2Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
3Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
4Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
5Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
6Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
7Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
8Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
9Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
10Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
11Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
12Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
13Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
14Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
15Propagating gene expression fronts in a one-dimensional coupled system of artificial cells
16Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
17Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
18AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
19Plasma-enhanced atomic layer deposition of titanium vanadium nitride
20Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
21Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
22Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
23ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
24Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
25Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
26Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
27Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
28P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
29Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
30Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
31Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
32Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
33High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
34Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
35Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
36Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
37Impact of degradable nanowires on long-term brain tissue responses
38Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
39A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
40Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
41Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
42Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
43Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
44Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
45Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
46Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
47Design and development of nanoimprint-enabled structures for molecular motor devices
48Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
49Comparison of passivation layers for AlGaN/GaN high electron mobility transistors
50Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
51Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
52Biofilm prevention on cochlear implants
53Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
54Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
55Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
56ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
57Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
58Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
59The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
60Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
61Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
62Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
63Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
64Impact of interface materials on side permeation in indirect encapsulation of organic electronics
65Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
66Atomic layer deposition of GaN at low temperatures
67Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
68Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
69Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
70Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
71Lithium-Iron (III) Fluoride Battery with Double Surface Protection
72Annealing behavior of ferroelectric Si-doped HfO2 thin films
73Damage free Ar ion plasma surface treatment on In0.53Ga0.47As-on-silicon metal-oxide-semiconductor device
74Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
75Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
76Plasma-enhanced atomic layer deposition of vanadium nitride
77Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
78Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
79Perspectives on future directions in III-N semiconductor research
80Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
81Single-Cell Photonic Nanocavity Probes
82Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
83Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
84Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
85Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
86Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
87Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
88High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
89Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
90In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
91Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
92Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
93New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
94Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
95Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
96Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
97Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
98Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
99Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
100Damage evaluation in graphene underlying atomic layer deposition dielectrics
101Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
102Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
103Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
104On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
105Self-Limiting Growth of GaN at Low Temperatures
106The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
107Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
108Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
109DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
110Atomic layer deposition of metal-oxide thin films on cellulose fibers
111ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
112Atomic Layer Deposition of the Solid Electrolyte LiPON
113Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
114Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
115Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
116Sub-7-nm textured ZrO2 with giant ferroelectricity
117Plasma-enhanced atomic layer deposition of superconducting niobium nitride
118Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
119Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
120Band alignment of Al2O3 with (-201) β-Ga2O3
121Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
122Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
123In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
124Atomic layer deposition of titanium nitride for quantum circuits
125Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
126Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
127Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
128Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
129Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
130GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
131Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
132Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
133Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
134Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
135Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
136Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
137Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
138Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
139AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
140Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
141Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
142Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
143Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
144The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
145Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
146Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
147Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
148TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
149Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
150Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
151Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
152Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
153The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
154Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
155Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
156Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
157Atomic layer epitaxy for quantum well nitride-based devices
158Programmable on-chip DNA compartments as artificial cells
159Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
160The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
161Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
162Sub-nanometer heating depth of atomic layer annealing
163Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
164Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
165Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
166Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
167Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
168Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
169Plasma-enhanced atomic layer deposition of tungsten nitride
170Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
171Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
172AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
173The effects of layering in ferroelectric Si-doped HfO2 thin films
174The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
175Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
176Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
177Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
178Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
179Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
180Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
181Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
182Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
183ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
184An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
185Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
186Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
187Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
188Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
189Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
190Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
191Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
192Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
193Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
194Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
195A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
196Mechanical properties of thin-film Parylene-metal-Parylene devices
197Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
198Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
199Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
200Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
201Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
202Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
203Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
204Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
205A fully integrated electronic platform for multiplexed intermolecular force spectroscopy
206Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
207Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
208Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
209Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
210Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
211Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
212Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
213Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
214Passivation effects of atomic-layer-deposited aluminum oxide
215Performance of Samples with Novel SRF Materials and Growth Techniques
216Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
217Fully CMOS-compatible titanium nitride nanoantennas
218Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
219Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
220Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
221In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
222Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
223AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
224Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
225The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
226Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
227Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
228Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
229Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
230Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
231ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
232Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
233A high-density carbon fiber neural recording array technology
234Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
235Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
236Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
237Fiber-matrix interface reinforcement using Atomic Layer Deposition