Veeco - Ultratech - Cambridge NanoTech Fiji Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Veeco - Ultratech - Cambridge NanoTech Fiji hardware returned 263 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
2Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
3The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
4Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
5Plasma-enhanced atomic layer deposition of titanium vanadium nitride
6High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
7Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
8Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
9Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
10Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
11Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
12Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
13Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
14New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
15A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
16Fully CMOS-compatible titanium nitride nanoantennas
17Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
18The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
19Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
20Atomic layer deposition of GaN at low temperatures
21Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
22Annealing behavior of ferroelectric Si-doped HfO2 thin films
23Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
24The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
25Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
26Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
27Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
28Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
29Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
30Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
31Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
32Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
33Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
34In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
35Atomic layer deposition of metal-oxide thin films on cellulose fibers
36Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
37ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
38Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
39Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
40AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
41Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
42Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
43The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
44Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
45Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
46Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
47Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
48Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
49Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
50Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
51Fiber-matrix interface reinforcement using Atomic Layer Deposition
52Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
53The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
54GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
55Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
56Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
57Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
58Comparison of passivation layers for AlGaN/GaN high electron mobility transistors
59Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
60Passivation effects of atomic-layer-deposited aluminum oxide
61Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
62Atomic layer deposition of titanium nitride for quantum circuits
63Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
64Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
65Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
66Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
67Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
68A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
69TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
70Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
71Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
72Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
73Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
74Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
75Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
76Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
77Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
78Sub-7-nm textured ZrO2 with giant ferroelectricity
79Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
80Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
81Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
82Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
83Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
84Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
85Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
86Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
87Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
88Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
89Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
90Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
91Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
92Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
93Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
94Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
95Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
96Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
97Lithium-Iron (III) Fluoride Battery with Double Surface Protection
98Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
99AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
100Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
101Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
102On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
103Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
104Biofilm prevention on cochlear implants
105Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
106Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
107Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
108In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
109Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
110Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
111Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
112Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
113Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
114Single-Cell Photonic Nanocavity Probes
115Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
116Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
117Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
118Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
119Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
120Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
121In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
122Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
123Impact of interface materials on side permeation in indirect encapsulation of organic electronics
124Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
125Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
126Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
127DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
128Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
129Propagating gene expression fronts in a one-dimensional coupled system of artificial cells
130Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
131Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
132Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
133Atomic Layer Deposition of the Solid Electrolyte LiPON
134Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
135Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
136Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
137A high-density carbon fiber neural recording array technology
138Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
139Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
140Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
141Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
142Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
143Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
144Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
145Mechanical properties of thin-film Parylene-metal-Parylene devices
146Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
147Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
148Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
149Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
150Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
151Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
152Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
153Plasma-enhanced atomic layer deposition of superconducting niobium nitride
154Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
155Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
156An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
157Programmable on-chip DNA compartments as artificial cells
158Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
159Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
160ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
161Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
162Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
163Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
164Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
165Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
166Self-Limiting Growth of GaN at Low Temperatures
167Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
168Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
169Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
170Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
171Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
172The effects of layering in ferroelectric Si-doped HfO2 thin films
173Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
174Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
175Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
176Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
177A fully integrated electronic platform for multiplexed intermolecular force spectroscopy
178Atomic layer epitaxy for quantum well nitride-based devices
179AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
180Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
181Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
182Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
183Damage free Ar ion plasma surface treatment on In0.53Ga0.47As-on-silicon metal-oxide-semiconductor device
184Plasma-enhanced atomic layer deposition of tungsten nitride
185Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
186Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
187Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
188Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
189Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
190ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
191Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
192Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
193Perspectives on future directions in III-N semiconductor research
194Band alignment of Al2O3 with (-201) β-Ga2O3
195Plasma-enhanced atomic layer deposition of vanadium nitride
196Performance of Samples with Novel SRF Materials and Growth Techniques
197Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
198Design and development of nanoimprint-enabled structures for molecular motor devices
199Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
200Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
201High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
202Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
203Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
204Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
205Impact of degradable nanowires on long-term brain tissue responses
206Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
207Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
208ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
209Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
210Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
211Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
212Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
213P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
214Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
215Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
216Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
217Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
218Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
219Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
220The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
221Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
222Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
223Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
224The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
225Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
226Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
227ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
228Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
229Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
230Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
231Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
232Sub-nanometer heating depth of atomic layer annealing
233Damage evaluation in graphene underlying atomic layer deposition dielectrics
234Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
235Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
236AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
237Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries