www.plasma-ald.com August 2023 Statistics


Top Viewed Publications

Rank Page Views
1 Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition 19
2 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 17
3 Self-limiting diamond growth from alternating CFx and H fluxes 11
4 Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium 8
5 Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier 7
6 Sub-10-nm ferroelectric Gd-doped HfO2 layers 7
7 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 6
8 Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient 6
9 Designing high performance precursors for atomic layer deposition of silicon oxide 6
10 Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films 5
11 Comparative study of ALD SiO2 thin films for optical applications 5
12 Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions 5
13 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 4
14 Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma 4
15 Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition 4
16 Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources 4
17 Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources 4
18 Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition 4
19 Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition 4
20 Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma 4
21 'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition 4
22 Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane 4
23 Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition 3
24 Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing 3
25 Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma 3
26 Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier 3
27 Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design 3
28 Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN 3
29 Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD 3
30 Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition 3
31 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 3
32 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 3
33 Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition 3
34 Breakdown and Protection of ALD Moisture Barrier Thin Films 3
35 Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma 3
36 High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition 3
37 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 3
38 Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition 3
39 Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides 3
40 The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer 3
41 Growth characteristics and properties of Ga-doped ZnO (GZO) thin films grown by thermal and plasma-enhanced atomic layer deposition 3
42 TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition 3
43 Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect 3
44 Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition 3
45 Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells 3
46 Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers 3
47 Plasma-Assisted ALD of LiPO(N) for Solid State Batteries 3
48 Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate 3
49 Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications 3
50 Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O 3
51 Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys 3
52 Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride 3


Top Chemistry Searches

Rank Page CAS# Views
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 64
2 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 47
3 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 36
4 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 34
5 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 17
6 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn 0-0-0 15
7 Bis(ethylcyclopentadienyl)magnesium, (EtCp)2Mg 114460-02-5 13
8 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 13
9 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 11
10 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 11
11 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 0-0-0 10


Top Film Composition Searches

Rank Film Composition Views
1 SiO2 14
2 TiN 12
3 NbN 10
4 AlN 10
5 SiNx 10
6 MoOx 6
7 SnO2 6
8 HfNx 5
9 Ni 5
10 HfO2 5
11 WCN 5
12 TaNx 4
13 ZnO 4
14 HfZrSiO 4
15 MoN 3
16 AlxGa1-xN 3
17 ZrN 3
18 AlON 3
19 Si 2
20 In2O3 2
21 SiON 2
22 Nb 2
23 IGZO 2
24 Nb2O5 2
25 Y2O3 2
26 GaN 2
27 HfON 2
28 SiAlN 2
29 LiPON 2
30 Pt 2
31 InN 2
32 HfZrO2 2
33 Diamond 2
34 Cu 2
35 SiC 2
36 TiSiN 2
37 Al2O3 2


Top "Where to Buy" Chemistry Searches

Rank Page CAS# Views
1 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 16
2 TiCl4, Titanium Tetrachloride 7550-45-0 14
3 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 78-10-4 13
4 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 4
5 Di(Tert-Butyl)Telluride, But2Te 83817-35-0 3
6 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 3
7 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 3
8 AlCl3, Aluminum Trichloride 7446-70-0 2
9 DIPTe, DiIsoPropylTelluride, Te(iPr)2 51112-72-2 2
10 DEZ, diethyl zinc, ZnEt2 557-20-0 2
11 Y(iPrCp)3, Yttrium tris(isopropylcyclopentadienyl) 130206-63-2 2
12 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 2
13 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 2
14 TEB, BEt3, B(C2H5)3, TriEthylBoron, TriEthylBorane 97-94-9 2
15 Molybdenum hexacarbonyl, Mo(CO)6 13939-06-5 2
16 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 2
17 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 2
18 Dicarbonylcyclopentadienylcobalt, CpCo(CO)2 12078-25-0 2
19 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 2
20 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 14319-13-2 2


Top Hardware Searches

Rank Hardware Views
1 ASM Microchemistry F-120 28
2 ASM Eagle XP8 24
3 Beneq TFS-200 15
4 CN1 Atomic Premium 14
5 Applied Materials 300mm ALD 10
6 Applied Materials TxZ chamber 8
7 Veeco - Ultratech - Cambridge NanoTech Fiji 8
8 Oxford Instruments FlexAL 8
9 Picosun SUNALE R-150B 8
10 ASM EmerALD 7
11 Quros Plus 200 7
12 ASM Pulsar 3000 7
13 Beneq TFS-500 6
14 ASM Genitech PEALD 6
15 Picosun R200 6
16 Beneq P800 5
17 Aixtron Genus Stratagem 200 5
18 ASM Eagle 12 5
19 Quros Plus 150 4
20 Lam ALTUS 4
21 Custom Microwave Plasma 4
22 Custom 4
23 SENTECH 4
24 Beneq TFS-200R 4
25 Beneq P400A 3
26 Veeco - Ultratech - Cambridge NanoTech Savannah 3
27 Oxford Instruments OpAL 3
28 Oxford Instruments Atomfab 2
29 Kemicro PEALD-150 2
30 Altatech AltaCVD 2
31 iOV d150, iSAC Co. Ltd. 2
32 Quros 100 2
33 Applied Materials Producer GTTM 2
34 Applied Materials Volta 2