www.plasma-ald.com February 2023 Statistics


Top Viewed Publications

Rank Page Views
1 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 21
2 Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition 20
3 Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition 19
4 Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology 17
5 Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods 15
6 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 14
7 Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2 14
8 Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma 12
9 Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma 12
10 Comparative study of ALD SiO2 thin films for optical applications 12
11 Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth 10
12 Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application 10
13 Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor 9
14 Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions 9
15 Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer 9
16 Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition 9
17 Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices 8
18 Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition 8
19 Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition 7
20 Study on the characteristics of aluminum thin films prepared by atomic layer deposition 7
21 Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition 7
22 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 7
23 Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN 6
24 Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies 6
25 Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2 6
26 Atomic layer deposition of titanium nitride from TDMAT precursor 6
27 Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas 6
28 Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma 6
29 Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum 6
30 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 5
31 Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing 5
32 Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films 5
33 The Properties of Cu Thin Films on Ru Depending on the ALD Temperature 5
34 Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook 5
35 Atomic Layer Deposition of Nanolayered Carbon Films 5
36 Atomic layer deposition of GaN at low temperatures 5
37 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 5
38 Designing high performance precursors for atomic layer deposition of silicon oxide 5
39 Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor 5
40 Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate 5
41 Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu 5
42 ALD TaN Barrier for Enhanced Performance with Low Contact Resistance for 14nm Technology Node Cu Interconnects 5
43 Ag films grown by remote plasma enhanced atomic layer deposition on different substrates 5
44 A route to low temperature growth of single crystal GaN on sapphire 5
45 Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx 4
46 Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells 4
47 A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology 4
48 The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology 4
49 Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma 4
50 A study on film thickness control of vertical flow showerhead reactor for RF plasma deposition 4
51 Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma 4
52 Atomic layer epitaxy of Si using atomic H 4
53 Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films 4
54 Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN 4
55 Breakdown and Protection of ALD Moisture Barrier Thin Films 4
56 Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy 4
57 Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition 4
58 Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films 4
59 Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition 4
60 Atmospheric pressure plasma enhanced spatial ALD of silver 4
61 Atomic layer controlled deposition of silicon nitride with self-limiting mechanism 4
62 Optical in situ monitoring of plasma-enhanced atomic layer deposition process 3
63 Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide 3
64 Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma 3
65 Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle 3
66 Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy 3
67 Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia 3
68 Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD 3
69 All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process 3
70 Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition 3
71 Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition 3
72 Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure 3
73 Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms 3
74 Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells 3
75 Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition 3
76 ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications 3
77 Atomic Layer Deposition of Gold Metal 3
78 Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate 3
79 A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films 3
80 Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas 3
81 Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers 3
82 Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals 3
83 Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor 3
84 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 3
85 Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD 3
86 In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating 3
87 Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films 3
88 Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN 3
89 Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films 3
90 Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper 3
91 A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer 3
92 A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition 3
93 Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3 3
94 AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers 3
95 Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material 3
96 Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors 3
97 Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices 3
98 Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition 3
99 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 3
100 Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects 3
101 Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells 3
102 High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition 3
103 Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD) 3
104 Atomic Layer Deposition of Niobium Nitride from Different Precursors 3
105 Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition 3
106 Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition 3
107 Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity 3
108 A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu 3
109 Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects 3
110 Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery 3
111 Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study 3
112 Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films 3
113 Experimental and numerical analysis of the effects of ion bombardment in silicon oxide (SiO2) plasma enhanced atomic layer deposition (PEALD) processes 3
114 Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient 3
115 Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy 3
116 Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN 3
117 Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates 3
118 TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition 3
119 Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects 3
120 Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions 3
121 Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration 3
122 Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing 3
123 Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation 3
124 Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition 3
125 Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition 3
126 Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition 3
127 Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode 3
128 Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant 3


Top Chemistry Searches

Rank Page CAS# Views
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 70
2 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 43
3 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 34
4 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 34
5 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 30
6 AP-LTO 330 0-0-0 21
7 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 18
8 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 17
9 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 15
10 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) 56792-69-9 15
11 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 13
12 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 13
13 Bis(t-butylimido)bis(dimethylamino)molybdenum 923956-62-1 12
14 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn 0-0-0 12
15 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 791114-66-4 12
16 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 11
17 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 1011514-41-2 11
18 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 0-0-0 11
19 DEZ, diethyl zinc, ZnEt2 557-20-0 11


Top Film Composition Searches

Rank Film Composition Views
1 SiO2 24
2 SiNx 15
3 NbN 13
4 Al 12
5 AlN 8
6 C 8
7 TiN 8
8 Ag 7
9 HfO2 6
10 SiC 6
11 TaNx 6
12 Al2O3 6
13 WS2 5
14 BN 5
15 MoN 5
16 Ru 5
17 Cu 5
18 GaN 5
19 HfAlOx 4
20 TiO2 4
21 SnO2 4
22 VN 4
23 WCN 4
24 IrO2 4
25 Ta2O5 4
26 MoS2 4
27 RuO2 4
28 ZrN 3
29 In2O3 3
30 ZnO 3
31 HfNx 3
32 Si 3
33 Co 3
34 NiOx 3
35 Ni 3
36 Graphene 3
37 NbTiN 3
38 Ti 3
39 HfON 3
40 Nb2O5 3
41 TiAlN 3
42 VOx 3
43 SiCOH 2
44 Er2O3 2
45 SiAlN 2
46 BaTiO3 2
47 In2(S,O)3 2
48 GaP 2
49 Gd2O3 2
50 H:ZnO 2
51 HfLaOx 2
52 YSZ 2
53 InZnO 2
54 Ir 2
55 La2O3 2
56 Nb 2
57 TaZrO2 2
58 TiSiO 2
59 VPO4 2
60 WN 2
61 ZrTiN 2
62 Mo 2
63 SiON 2
64 TiC 2
65 TiSiN 2
66 RuTaN 2
67 TaCN 2
68 Ga2O3 2
69 ZrO2 2
70 WO3 2
71 HfZrO2 2
72 MgO 2


Top "Where to Buy" Chemistry Searches

Rank Page CAS# Views
1 TiCl4, Titanium Tetrachloride 7550-45-0 27
2 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 78-10-4 14
3 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 13
4 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 8
5 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 8
6 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 14319-13-2 7
7 AlCl3, Aluminum Trichloride 7446-70-0 7
8 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) 33012-33-8 6
9 Bis(triisopropyl cyclopentadienyl) barium, Ba(iPr3Cp)2 147658-83-1 6
10 Molybdenum hexacarbonyl, Mo(CO)6 13939-06-5 5
11 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 14040-11-0 5
12 Bis(N,N'-diisopropylacetamidinato)cobalt 635680-58-9 4
13 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 4
14 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 4
15 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 97-93-8 4
16 Bis(n-propyl tetramethyl cyclopentadienyl) barium, Ba[(n-Pr)(Me)4Cp]2 210758-43-3 4
17 In(CH3)3, InMe3, TriMethyl Indium, TMI 3385-78-2 4
18 aluminum isopropoxide, Al(O-i-Pr)3 555-31-7 3
19 Tris(DiMethylAmido)Antimony, Sb[(CH3)2N]3, TDMASb 7289-92-1 3
20 BDIPADS, 1,2-Bis(diisopropylamino)disilane 151625-26-2 3
21 TEB, BEt3, B(C2H5)3, TriEthylBoron, TriEthylBorane 97-94-9 3
22 ATSB, Al(OsBu)3, Al(OCHMeEt)3, Al[OCH(CH3)C2H5]3, Aluminum tri-sec-butoxide 2269-22-9 3
23 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 3
24 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 3
25 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 3
26 Al(OEt)3, Al(OC2H5)3, Aluminum Ethoxide, Aluminum Triethoxide, Triethoxyaluminum, Aluminium Triethanolate 555-75-9 3
27 Hafnium Tetrachloride, HfCl4 13499-05-3 3
28 bis(cyclopentadienyl)Magnesium, MgCp2 1284-72-6 3
29 TMS, trimethylsilane, Me3SiH 993-07-7 3
30 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 3
31 TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3] 169896-41-7 2
32 TEMATi, (EtMeN)4Ti, [(C2H5)(CH3)N]4Ti, Tetrakis(EthylMethylAmido) Titanium, Titanium Ethylmethylamide 308103-54-0 2
33 Bis(N,N'-di-t-butylacetamidinato)iron(II) 635680-56-7 2
34 t-Amylimidotris(dimethylamido)tantalum(V), (NtAm)(NMe2)3Ta, TAIMATA 629654-53-1 2
35 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 32992-96-4 2
36 Trimethyl phosphate, TMP, (MeO)3PO 512-56-1 2
37 Co(TMHD)2, Co(THD)2, bis(2,2,6,6-tetramethyl-3,5-heptanedionato) cobalt(II), Cobalt dipivaloylmethanate 13986-53-3 2
38 Bis(ethylcyclopentadienyl)hafnium(IV) dichloride, Hf(C2H5C5H4)2Cl2, Hf(EtCp)2Cl2 78205-93-3 2
39 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 1287-13-4 2
40 Y(iPrCp)3, Yttrium tris(isopropylcyclopentadienyl) 130206-63-2 2
41 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 19824-59-0 2
42 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 1011514-41-2 2
43 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 2
44 Chromium(III) acetylacetonate, Cr(C5H7O2)3, Cr(acac)3 21679-31-2 2
45 Bis(N,N'-di-sec-butylacetamidinato)dicopper(I), copper(I)-N,N'-di-sec-butylacetamidinate 695188-31-9 2
46 TDEAHf, (Et2N)4Hf, [(C2H5)2N]4Hf, Tetrakis(DiEthylAmido) Hafnium, Hafnium Diethylamide 19824-55-6 2
47 In(acac)3, Indium(III) acetylacetonate 14405-45-9 2
48 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 165461-74-5 2
49 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 2
50 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 1293-95-4 2
51 Nickel bis(N,N'-ditertialbutylacetamidinate), Dow Chemical AccuDEPTM Nickel 940895-79-4 2
52 In(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) indium 34269-03-9 2
53 Nb(N-tBu)(NMeEt)3, TBTMEN 864150-47-0 2
54 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium 120441-92-1 2
55 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 2


Top Hardware Searches

Rank Hardware Views
1 ASM Microchemistry F-120 16
2 ASM Eagle 12 15
3 ASM Eagle XP8 10
4 Picosun SUNALE R-150B 9
5 Picosun R200 9
6 Applied Materials TxZ chamber 9
7 Custom Microwave Plasma 9
8 Angstrom-dep III PEALD 8
9 Lam ALTUS 8
10 ASM Pulsar 3000 7
11 Oxford Instruments FlexAL 7
12 ASM EmerALD 6
13 Aixtron Genus Stratagem 200 6
14 Custom Direct Capacitively Coupled Plasma 6
15 Beneq TFS-200 6
16 Veeco - Ultratech - Cambridge NanoTech Fiji 5
17 ASM A400 5
18 SNTEK Co. ALD 5008 5
19 Beneq TFS-500 5
20 Custom 4
21 Oxford Instruments OpAL 4
22 Quros 100 4
23 Applied Materials 300mm ALD 4
24 ASM Genitech PEALD 4
25 Novellus Systems INOVA xT 4
26 Daeki Hi-Tech 4
27 Altatech AltaCVD 4
28 Quros Plus 200 4
29 iOV d100, iSAC Co. Ltd. 4
30 Custom Hot-wire 3
31 Beneq TFS-200R 3
32 Custom Remote Inductively Coupled Plasma 3
33 PEALD-200A, Jiaxing Kemicro Microelectronic 3
34 Beneq P800 2
35 Beneq P400A 2
36 Custom Remote 2
37 Custom Rotary 2
38 Kurt J Lesker ALD-150LX 2
39 ForALL OZONE 2
40 Oxford Instruments Atomfab 2
41 SENTECH 2
42 Simulation 2
43 SVT Associates 2
44 Veeco - Ultratech - Cambridge NanoTech Savannah 2
45 Custom Batch 2
46 Custom Direct Inductively Coupled Plasma 2
47 Arradiance GEMStar-6 2
48 Eugene Technology Cardinal 2
49 Quros Plus 150 2
50 Custom Spatial 2
51 FHR-150-ALD 2
52 Veeco NEXUS 2
53 Custom ECR 2
54 Applied Materials P-5000 Mark II 2
55 Applied Materials Volta 2