www.plasma-ald.com 2024 Year in Review


Introduction

The www.plasma-ald.com website hosts an easily searchable database of publications which discuss thin films derived from plasma enhanced atomic layer deposition.

The publication database currently has 1732 entries.

For each publication, numerous details of the plasma ALD usage are entered into the database to allow the publication to be found through various searches. The primary search categories include:

An advanced search page allows users to simulateously search on multiple criteria and narrow their search with additional publication details:

  • Deposition Temperature
  • Author Name and Affiliation
  • Characterization Analyses and Equipment
  • Substrate Material

There are also links to "Where to Buy" many of the precursors wherever those chemicals are discussed.

This review reports on the website visitor volume in addition to the most popular searches and viewed pages on the website during 2024.

The information presented is derived from analyzing the web server logs with custom Python code utilizing the open source data analysis library, pandas. Substantial efforts are made to remove all traffic not attributable to real visitors.

Analysis Revision 1.0 2025-01-06


Visitors

In 2024, the website had 961 - 1218 visitors per month for a total of 10,127.

www.plasma-ald.com 2024 Monthly Visitor Plot


Top 2024 Publication Views

Rank Publication Count
1 Radical Enhanced Atomic Layer Deposition of Metals and Oxides 150
2 Designing high performance precursors for atomic layer deposition of silicon oxide 96
3 Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors 82
4 Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes 79
5 High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition 52
6 In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films 51
7 Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition 50
8 Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing 45
9 Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD 42
10 Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications 41
11 Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition 39
12 Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition 38
13 Atomic layer deposition of titanium nitride from TDMAT precursor 37
14 Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor 37
15 Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma 37
16 Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices 37
17 Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition 35
18 Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer 33
19 Plasma-enhanced atomic layer deposition of superconducting niobium nitride 32
20 Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process 32
21 Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation 32
22 Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition 31
23 Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor 31
24 High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane 31
25 Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor 31
26 Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma 30
27 TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD 30
28 Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide 30
29 Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma 30
30 Combined PEALD Gate-Dielectric and In-Situ SiN Cap-Layer for Reduced Vth Shift and RDS-ON Dispersion of AlGaN/GaN HEMTs on 200 mm Si Wafer 30
31 Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures 30
32 Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature 30
33 Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films 30
34 Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor 30
35 Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma 30
36 Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode 29
37 Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma 29
38 Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient 28
39 Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl 28
40 Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies 28
41 Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor 28
42 Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition 27
43 Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition 27
44 Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD 27
45 Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors 26
46 Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating 26
47 Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects 25
48 Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization 25
49 Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers 25
50 Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control 25
51 Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8] 25
52 PEALD of Copper using New Precursors for Next Generation of Interconnections 24
53 Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2 24
54 A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition 24
55 Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM 24
56 All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process 24
57 Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2 24
58 Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System 24
59 Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges 23
60 Breakdown and Protection of ALD Moisture Barrier Thin Films 23
61 Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions 23
62 Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes 23
63 Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications 23
64 Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN 23
65 Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition 22
66 Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma 22
67 Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD 22
68 Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions 22
69 Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition 22
70 Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition 22
71 Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals 21
72 Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells 21
73 Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon 21
74 Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition 21
75 Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material 21
76 Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant 20
77 Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3 20
78 Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma 20
79 Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma 20
80 Plasma-enhanced atomic layer deposition of BaTiO3 20
81 Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics 20
82 Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration 20
83 Plasma-enhanced atomic layer deposition of Co on metal surfaces 20
84 Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor 20
85 Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films 20
86 Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition 20
87 Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers 20
88 Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas 20
89 Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx 20
90 Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate 20
91 Carbon content control of silicon oxycarbide film with methane containing plasma 19
92 Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition 19
93 CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories 19
94 Atomic Layer Deposition of Niobium Nitride from Different Precursors 19
95 Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition 19
96 Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition 19
97 A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu 19
98 Hydrogen plasma-enhanced atomic layer deposition of copper thin films 19
99 Interface Properties of GaP/Si Heterojunction Fabricated by PE-ALD 19
100 ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications 19
101 Comparative study of ALD SiO2 thin films for optical applications 19
102 Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications 19
103 Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect 19



Top 2024 Chemistry Searches

Rank Page CAS# Views
1 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 641
2 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 524
3 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 399
4 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 322
5 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 176
6 Sn(dmamp)2, bis(1-dimethylamino-2-methyl-2-propoxide)Sn 0-0-0 156
7 Pentamethyl cyclopentadienyl titanium trimethoxide Ti(CpMe5)(OMe)3 123927-75-3 137
8 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 124
9 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 3275-24-9 106
10 di(sec-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3 0-0-0 105
11 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 165461-74-5 104
12 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 103
13 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 102
14 TTIP, titanium(IV) isopropoxide, Ti(O-i-Pr)4 546-68-9 101
15 AP-LTO 330 0-0-0 99
16 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 93
17 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium 120441-92-1 93
18 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide 175923-04-3 78
19 (ethylbenzyl) (1-ethyl-1,4-cyclohexadienyl) Ru(0), EBECHRu 0-0-0 78
20 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 76
21 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 69
22 Dicobalt Hexacarbonyl Tert-ButylAcetylene (CCTBA) 56792-69-9 68
23 DEZ, diethyl zinc, ZnEt2 557-20-0 67
24 Bis(ethylcyclopentadienyl)magnesium, (EtCp)2Mg 114460-02-5 66
25 Bis(t-butylimido)bis(dimethylamino)molybdenum 923956-62-1 65
26 MABOC, bis(1-dimethylamino-2-methyl-2-butoxy) copper(II), Cu(dmamb)2 872130-16-0 65
27 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 63
28 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 61
29 TEMAV, (EtMeN)4V, [(C2H5)(CH3)N]4V, Tetrakis(EthylMethylAmido) Vanadium, Vanadium Ethylmethylamide 791114-66-4 58
30 dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C16H22O6Ru) 0-0-0 56
31 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 54
32 (MeCp)2Ni, Ni(C5H4CH3)2, Bis(methylcyclopentadienyl)nickel(II), 1,1'-Dimethylnickelocene 1293-95-4 51
33 Trisilylamine [N(SiH3)3] 13862-16-3 51
34 Molybdenum(V) chloride, [MoCl5]2 10241-05-1 50
35 TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3] 169896-41-7 50
36 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 49
37 η4-2,3-dimethylbutadiene ruthenium tricarbonyl, Ru(DMBD)(CO)3 0-0-0 47
38 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 19824-59-0 46
39 BDIPADS, 1,2-Bis(diisopropylamino)disilane 151625-26-2 46
40 Dicarbonylcyclopentadienylcobalt, CpCo(CO)2 12078-25-0 43
41 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 32992-96-4 41
42 (Ethyl Cyclopentadienyl) (CycloHexaDiene) Iridium, Ir(EtCp)(CHD) 721427-58-3 41
43 3DMAB, TDMAB, (Me2N)3B, (CH3)2N)3B, Tris(dimethylamido)borane 4375-83-1 40
44 NH3, Ammonia 7664-41-7 38
45 Trimethylphosphino Trimethyl Gold(III), Trimethyl Trimethylphosphine Gold(III) 33012-33-8 38
46 TEMATi, (EtMeN)4Ti, [(C2H5)(CH3)N]4Ti, Tetrakis(EthylMethylAmido) Titanium, Titanium Ethylmethylamide 308103-54-0 37
47 tris(isopropylcyclopentadienyl) cerium, Ce(i-PrCp)3 122528-16-9 37
48 Zn(DMP)2, BDMPZ, bis-3-(N,N-dimethylamino)propyl zinc 0-0-0 35
49 (Trimethyl)methylcyclopentadienylplatinum, MeCpMe3Pt 94442-22-5 35
50 Bis(triisopropyl cyclopentadienyl) barium, Ba(iPr3Cp)2 147658-83-1 34
51 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 15112-89-7 34
52 DCS, H2SiCl2, DiChloroSilane 4109-96-0 34
53 Bi(TMHD)3, Bi(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) bismuth, Bismuth dipivaloylmethanate 142617-53-6 33
54 Niobium Ethoxide, Nb(OC2H5)5, Nb(OEt)5 3236-82-6 33
55 (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium [Ru(DMPD)(EtCp)] 0-0-0 33
56 TiCl4, Titanium Tetrachloride 7550-45-0 33
57 Bis(ethylcyclopentadienyl)nickel, (EtCp)2Ni 31886-51-8 33
58 Bis(isopropyl cyclopentadienyl) tungsten(IV) dihydride, WH2(iPrCp)2 64561-25-7 32
59 Bis(N,N'-di-sec-butylacetamidinato)dicopper(I), copper(I)-N,N'-di-sec-butylacetamidinate 695188-31-9 32
60 Ta(OC2H5)5, Ta(OEt)5, Tantalum(V) ethoxide 6074-84-6 32
61 Er(TMHD)3, Er(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) erbium, Erbium dipivaloylmethanate 35733-23-4 32
62 bis(cyclopentadienyl)Magnesium, MgCp2 1284-72-6 32
63 Molybdenum hexacarbonyl, Mo(CO)6 13939-06-5 31
64 H2, Hydrogen 1333-74-0 31
65 Di(Tert-Butyl)Telluride, But2Te 83817-35-0 30
66 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 14040-11-0 30
67 TDEAHf, (Et2N)4Hf, [(C2H5)2N]4Hf, Tetrakis(DiEthylAmido) Hafnium, Hafnium Diethylamide 19824-55-6 29
68 tris(isopropylcyclopentadienyl)lanthanum, (i-PrCp)3La 68959-87-5 29
69 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 14319-13-2 28
70 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 1287-13-4 28
71 Et2InN(SiMe3)2, Et2In(HMDS), DiEthyl Indium HexaMethylDiSilazane, DiEthyl Indium Bis(trimethylsilyl)amine, Bis(trimethysilyl)amidodiethyl Indium 0-0-0 27
72 DMZ, DiMethylZinc, ZnMe2, Zn(CH3)2 544-97-8 27
73 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 97-93-8 26
74 LiOt-Bu, LiOtBu, LTB, Lithium tert-butoxide, Lithium tertiary butoxide, LiOC4H9 1907-33-1 26
75 Neopentasilane, (SiH3)4Si, NPS 15947-57-6 26
76 Bis(EthylMethylAmino)Silane, BEMAS, (EtMeN)2SiH2 1011514-41-2 25
77 Cu(TMHD)2, Cu(THD)2, bis(2,2,6,6-tetramethyl-3,5-heptanedionate) copper, Copper(II) dipivaloylmethanate 14040-05-2 25
78 In(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) indium 34269-03-9 25
79 Tert-Butylimido,Tris(EthylMethylamino)Tantalum, Ta[N(CH3)(C2H5)]3[=NC(CH3)3], TBTEMT 511292-99-2 24
80 TMG, trimethyl galium, GaMe3 1445-79-0 24
81 Bis(cyclopentadienyl)nickel, Nickelocene, Cp2Ni 1271-28-9 24
82 TDMAGe, (Me2N)4Ge, [(CH3)2N]4Ge, Tetrakis(DiMethylAmido) Germanium, Germanium Dimethylamide 7344-40-3 24
83 3DMAAl, (Me2N)3Al, (Me2N)6Al2, Tris (DiMethylAmido) Aluminum(III) 32093-39-3 24
84 TDEAZr, tetrakis(diethylamido)zirconium, zirconium diethylamide, (Et2N)4Zr 13801-49-5 23
85 Tert-Butylimido,Tris(diMEthylamino)Tantalum, Ta[N(CH3)2]3[=NC(CH3)3], TBTMET, TBTDMT 69039-11-8 23
86 VO(acac)2, VO(pd)2, Vanadyl acetylacetonate, oxobis(2,4-pentanedionato)vanadium(IV) 3153-26-2 23
87 Nickel bis(N,N'-ditertialbutylacetamidinate), Dow Chemical AccuDEPTM Nickel 940895-79-4 22
88 4DMASi, TDMASi, (Me2N)4Si, [(CH3)2N]4Si, Tetrakis(DiMethylAmido) Silane, Silicon Dimethylamide 1624-01-7 22
89 palladium(II) hexafluoroacetylacetonate, Pd(hfac)2 64916-48-9 22
90 Mn(TMHD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) manganese 14324-99-3 22
91 B(OMe)3, Trimethyl borate, Boric acid trimethyl ester, Methyl borate 121-43-7 22
92 copper(II) hexafluoroacetylacetonate, Cu(HFAC)2 155640-85-0 22
93 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) yttrium Y(tmhd)3 15632-39-0 22
94 Bis(dimethylaminomethylsilyl)(trimethylsilyl)amine, Me3SiN(SiHMeNMe2)2, (CH3)3SiN(SiH(CH3)N(CH3)2)2 0-0-0 21
95 Fe(TMHD)3, Fe(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) iron, Iron(III) dipivaloylmethanate 14876-47-2 21
96 PH3, Phospine, Phosphane 7803-51-2 21
97 Bis(N,N'-di-t-butylacetamidinato)iron(II) 635680-56-7 21
98 Ga(TMHD)3, Ga(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) gallium, Gallium tetramethylheptanedionate 34228-15-4 21
99 (ethylbenzene) (1,3-cyclohexadiene) Ru(0), EBCHDRu 0-0-0 20
100 Nb(N-tBu)(NMeEt)3, TBTMEN 864150-47-0 20
101 WF6, Tungsten Hexafluoride 7783-82-6 20
102 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 20
103 Diiodosilane, H2I2Si 13760-02-6 20



Top 2024 Film Searches

Rank Film Composition Views
1 SiO2 147
2 TiN 112
3 SiNx 98
4 NbN 85
5 Al2O3 81
6 HfO2 60
7 AlN 51
8 Cu 47
9 In2O3 43
10 Co 40
11 HfZrO2 39
12 TiO2 39
13 SiON 34
14 AlON 33
15 TaNx 33
16 Y2O3 33
17 Mo 33
18 SnO2 32
19 ZnO 29
20 Ga2O3 28
21 GaN 27
22 ZrO2 26
23 SiC 26
24 SiCOH 24
25 NbTiN 24
26 Al 23
27 TiC 23
28 BN 22
29 Ru 21
30 Ta2O5 19
31 VOx 19
32 NiOx 19
33 Ag 19
34 C 18
35 RuO2 17
36 AlF 16
37 WCN 16
38 SiAlN 16
39 MoOx 16
40 Ti 15
41 WS2 14
42 InGaN 14
43 IGZO 14
44 WO3 14
45 GaP 14
46 TiAlN 13
47 Si 13
48 MoN 13
49 Ni 13
50 RuTaN 13



Top 2024 Hardware Searches

Rank Hardware Views
1 ASM Eagle XP8 186
2 ASM Microchemistry F-120 128
3 Applied Materials TxZ chamber 85
4 Picosun R200 79
5 Aixtron Genus Stratagem 200 72
6 Applied Materials 300mm ALD 61
7 ASM Pulsar 3000 59
8 Picosun SUNALE R-150B 57
9 ASM Eagle 12 52
10 ASM Genitech PEALD 46
11 Oxford Instruments FlexAL 44
12 Beneq TFS-200 39
13 Veeco - Ultratech - Cambridge NanoTech Fiji 34
14 CN1 Atomic Premium 30
15 ASM EmerALD 29
16 Lam ALTUS 26
17 Oxford Instruments OpAL 25
18 ASM Pulsar 2000 23
19 Quros Plus 200 23
20 SENTECH 22
21 Custom Microwave Plasma 21
22 Applied Materials Volta 21
23 ASM A400 18
24 Applied Materials P-5000 Mark II 16
25 Applied Materials Producer GTTM 15
26 Angstrom-dep III PEALD 15
27 Beneq P800 15
28 Wonik IPS MAHA_AL 14
29 ASM Genitech MP-1000 13
30 Beneq TFS-500 13
31 Novellus Systems INOVA xT 13
32 Quros Plus 150 11
33 Beneq P400A 11
34 Custom Batch 11
35 Daeki Hi-Tech 11
36 Veeco - Ultratech - Cambridge NanoTech Savannah 11
37 Custom 10
38 Ever-tek model Plus 100 10
39 SNTEK Co. ALD 5008 10
40 Altatech AltaCVD 10
41 Arradiance GEMStar-6 9
42 Kurt J Lesker ALD-150L 9
43 Oxford Instruments Plasmalab 100 9
44 Joosung Engineering 8
45 Arradiance GEMStar XT 8
46 TEL Phoenix CVD cluster tool 8
47 NCD Technology Lucida MP-100 7
48 Kurt J Lesker ALD-150LX 7
49 Oxford Instruments Atomfab 6
50 Ensure Scientific Group AutoALD-PE V2.0 6
51 Unknown 6
52 Custom Direct Inductively Coupled Plasma 6
53 Leintech LTSR-150 6



Top 2024 'Where to Buy' Chemical Clicks

Rank Page CAS# Views
1 TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3 75-24-1 154
2 TiCl4, Titanium Tetrachloride 7550-45-0 101
3 TEOS, Si(OC2H5)4, TetraEthyl OrthoSilicate 78-10-4 95
4 Tris(dimethylamino)cyclopentadienyl Zirconium, CpZr(NMe2)3, Air Liquide ZyALDTM 33271-88-4 67
5 Tris(dimethylamido)cyclopentadienyl Hafnium, HfCp(NMe2)3, Air Liquide HyALDTM 941596-80-1 54
6 B2H6, Diborane, Boroethane, Boron hydride, Diboron hexahydride 19287-45-7 46
7 BDIPADS, 1,2-Bis(diisopropylamino)disilane 151625-26-2 45
8 BDEAS, SAM-24, Bis(diethylamino)silane, (Et2N)2SiH2 27804-64-4 41
9 TDMATi, tetrakis(dimethylamido)titanium, titanium dimethylamide, (Me2N)4Ti 3275-24-9 28
10 copper(II) hexafluoroacetylacetonate, Cu(HFAC)2 155640-85-0 27
11 AlCl3, Aluminum Trichloride 7446-70-0 26
12 TBTDEN, Tris(diethylamido)(tert-butylimido)niobium 210363-27-2 25
13 W(CO)6, tungsten hexacarbonyl, Joppa27TM (Entegris) 14040-11-0 25
14 DADI, [Me2N(CH2)3]Me2In, (3-(dimethylamino)propyl)dimethylindium 120441-92-1 22
15 In(CH3)3, InMe3, TriMethyl Indium, TMI 3385-78-2 22
16 BTBMW, TBIDMW, bis(t-Butylimido) bis(DiMethylamido) Tungsten 406462-43-9 22
17 La(TMHD)3, La(THD)3, tris(2,2,6,6-tetramethyl-3,5-heptanedionato) lanthanum, Lanthanum dipivaloylmethanate 14319-13-2 22
18 Hafnium Tetrachloride, HfCl4 13499-05-3 22
19 DMAI, dimethylaluminum isopropoxide, Me2Al(O-i-Pr)3 6063-89-4 21
20 Bis(N,N'-di-t-butylacetamidinato)iron(II) 635680-56-7 20
21 Bis(EthylCycloPentadienyl)Ruthenium, Ru(EtCp)2 32992-96-4 19
22 Bis(cyclopentadienyl)nickel, Nickelocene, Cp2Ni 1271-28-9 19
23 Ag(FOD)(PEt3), Triethylphosphine (6,6,7,7,8,8,8- heptafluoro-2,2- dimethyl-3,5- octanedionate) silver(I) 165461-74-5 19
24 Tris(methylcyclopentadienyl)yttrium(III), (MeCp)3Y 329735-72-0 19
25 Tert-Butylimido,Tris(EthylMethylamino)Tantalum, Ta[N(CH3)(C2H5)]3[=NC(CH3)3], TBTEMT 511292-99-2 18
26 (Trimethyl)methylcyclopentadienylplatinum, MeCpMe3Pt 94442-22-5 18
27 Ta(OC2H5)5, Ta(OEt)5, Tantalum(V) ethoxide 6074-84-6 17
28 MABONi, Ni(dmamb)2, bis(dimethylamino-2-methyl-2-butoxo)nickel 942311-35-5 17
29 Bis(triisopropyl cyclopentadienyl) barium, Ba(iPr3Cp)2 147658-83-1 17
30 TDMAHf, tetrakis(dimethylamido)hafnium, hafnium dimethylamide, (Me2N)4Hf, also CAS# 19782-68-4 19962-11-9 17
31 Bis(cyclopentadienyl)ruthenium, Ruthenocene, Cp2Ru, (C5H5)2Ru 1287-13-4 17
32 Neopentasilane, (SiH3)4Si, NPS 15947-57-6 16
33 Molybdenum hexacarbonyl, Mo(CO)6 13939-06-5 16
34 BTBAS, bis(tert-butylamido) silane, (t-BuCNH)2SiH2 186598-40-3 16
35 PDMAT, (NMe2)5Ta, Pentakis (DiMethylAmido) Tantalum 19824-59-0 16
36 TDMASn, (Me2N)4Sn, [(CH3)2N]4Sn, Tetrakis(DiMethylAmido) Tin, Tin Dimethylamide 1066-77-9 16
37 DMZ, DiMethylZinc, ZnMe2, Zn(CH3)2 544-97-8 14
38 TEMAZr, (EtMeN)4Zr, [(C2H5)(CH3)N]4Zr, Tetrakis(EthylMethylAmido) Zirconium, Zirconium Ethylmethylamide 175923-04-3 14
39 TEB, BEt3, B(C2H5)3, TriEthylBoron, TriEthylBorane 97-94-9 14
40 TEMAHf, tetrakis(ethylmethylamido) Hafnium, hafnium ethylmethylamide, (EtMeN)4Hf 352535-01-4 14
41 DEZ, diethyl zinc, ZnEt2 557-20-0 13
42 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) yttrium Y(tmhd)3 15632-39-0 13
43 TDMAZr, (Me2N)4Zr, [(CH3)2N]4Zr, Tetrakis(DiMethylAmido) Zirconium, Zirconium Dimethylamide 19756-04-8 13
44 TEA, Al(C2H5)3, AlEt3, Triethyl Aluminum 97-93-8 13
45 Titanium(IV) methoxide, Ti(OMe)4 992-92-7 12
46 Bis(n-propyl tetramethyl cyclopentadienyl) barium, Ba[(n-Pr)(Me)4Cp]2 210758-43-3 12
47 Fe(TMHD)3, Fe(THD)3, tris(2,2,6,6-tetramethyl- 3,5-heptanedionato) iron, Iron(III) dipivaloylmethanate 14876-47-2 12
48 t-Amylimidotris(dimethylamido)tantalum(V), (NtAm)(NMe2)3Ta, TAIMATA 629654-53-1 12
49 3DMASi, (Me2N)3SiH, [(CH3)2N]3SiH, Tris(DiMethylAmido) Silane 15112-89-7 12
50 TASn, TetraAllylTin, (H2C=CHCH2)2Sn 7393-43-3 12
51 tris(bis[trimethylsilyl]amido) lanthanum, La[N(TMS)2]3 175923-07-6 12
52 Tris(DiMethylAmido)Antimony, Sb[(CH3)2N]3, TDMASb 7289-92-1 12
53 Di(isopropylamino)Silane, (i-PrHN)2SiH2, DIPAS 908831-34-5 12
54 Cyclopentadienylindium(I), InCp 34822-89-4 12