1 | PEALD AlN: controlling growth and film crystallinity |
2 | Trapped charge densities in Al2O3-based silicon surface passivation layers |
3 | 'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition |
4 | Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system |
5 | Perspectives on future directions in III-N semiconductor research |
6 | Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment |
7 | Impact of interface materials on side permeation in indirect encapsulation of organic electronics |
8 | Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal |
9 | Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer |
10 | Damage evaluation in graphene underlying atomic layer deposition dielectrics |
11 | High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy |
12 | TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition |
13 | Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition |
14 | Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma |
15 | Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition |
16 | Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition |
17 | Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3 |
18 | Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition |
19 | Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs |
20 | Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3 |
21 | Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices |
22 | Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen |
23 | Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells |
24 | Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition |
25 | Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers |
26 | Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers |
27 | Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer |
28 | AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition |
29 | Fast Flexible Plastic Substrate ZnO Circuits |
30 | Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition |
31 | Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells |
32 | Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density |
33 | Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment |
34 | Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures |
35 | Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center |
36 | Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy |
37 | Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement |
38 | Properties of HfAlO film deposited by plasma enhanced atomic layer deposition |
39 | Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors |
40 | Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure |
41 | Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure |
42 | Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition |
43 | Using top graphene layer as sacrificial protection during dielectric atomic layer deposition |
44 | Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition |
45 | RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor |
46 | Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films |
47 | Fiber-matrix interface reinforcement using Atomic Layer Deposition |
48 | Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges |
49 | Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods |
50 | Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3 |
51 | Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3 |
52 | Nonvolatile Capacitive Crossbar Array for In-Memory Computing |
53 | Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor |
54 | Composite materials and nanoporous thin layers made by atomic layer deposition |
55 | Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer |
56 | Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces |
57 | Interfaces Formed by ALD Metal Oxide Growth on Metal Layers |
58 | Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions |
59 | Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si |
60 | Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer |
61 | Optical display film as flexible and light trapping substrate for organic photovoltaics |
62 | Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils |
63 | Electrical characterization of the slow boron oxygen defect component in Czochralski silicon |
64 | Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition |
65 | Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells |
66 | Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition |
67 | AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD |
68 | Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops |
69 | Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy |
70 | Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films |
71 | Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate |
72 | Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source |
73 | Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources |
74 | Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy |
75 | Oxide TFT LC Oscillators on Glass and Plastic for Wireless Functions in Large-Area Flexible Electronic Systems |
76 | ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs |
77 | Very high frequency plasma reactant for atomic layer deposition |
78 | Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes |
79 | Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition |
80 | Crystalline growth of AlN thin films by atomic layer deposition |
81 | Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer |
82 | Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3 |
83 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
84 | Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing |
85 | Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition |
86 | Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers |
87 | Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells |
88 | Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method |
89 | Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone |
90 | Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3 |
91 | Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition |
92 | Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer |
93 | Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition |
94 | Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition |
95 | Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon |
96 | Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors |
97 | TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition |
98 | Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique |
99 | The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition |
100 | Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition |
101 | Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces |
102 | Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films |
103 | Atomic layer deposition of metal-oxide thin films on cellulose fibers |
104 | Propagation Effects in Carbon Nanoelectronics |
105 | Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers |
106 | Ultrathin Surface Coating Enables the Stable Sodium Metal Anode |
107 | Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films |
108 | GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation |
109 | Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs |
110 | Practical Challenges of Processing III-Nitride/Graphene/SiC Devices |
111 | Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors |
112 | Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures |
113 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
114 | Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN |
115 | Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate |
116 | Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors |
117 | Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures |
118 | Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film |
119 | GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride |
120 | Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack |
121 | Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing |
122 | Atomic layer epitaxy for quantum well nitride-based devices |
123 | Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions |
124 | Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications |
125 | Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million |
126 | AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants |
127 | Dynamic tuning of plasmon resonance in the visible using graphene |
128 | Mechanical properties of thin-film Parylene-metal-Parylene devices |
129 | Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell |
130 | Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3 |
131 | Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content |
132 | In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides |
133 | Study on the characteristics of aluminum thin films prepared by atomic layer deposition |
134 | Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C |
135 | Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications |
136 | Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide |
137 | Breakdown and Protection of ALD Moisture Barrier Thin Films |
138 | Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition |
139 | AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments |
140 | Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode |
141 | Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals |
142 | Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors |
143 | Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition |
144 | Silicon surface passivation with atomic layer deposited aluminum nitride |
145 | Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition |
146 | Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition |
147 | Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V |
148 | Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries |
149 | Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate |
150 | Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides |
151 | Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates |
152 | Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition |
153 | The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain |
154 | Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films |
155 | Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique |
156 | Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition |
157 | Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application |
158 | Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs With PEALD-Grown AlN Gate Dielectric |
159 | Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries |
160 | Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures |
161 | MANOS performance dependence on ALD Al2O3 oxidation source |
162 | Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene |
163 | Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas |
164 | Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition |
165 | Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
166 | Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing |
167 | AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing |
168 | Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality |
169 | Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications |
170 | Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C |
171 | Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides |
172 | Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications |
173 | Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition |
174 | Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments |
175 | First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina |
176 | Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment |
177 | Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3 |
178 | AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers |
179 | On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies |
180 | Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films |
181 | Atomic layer epitaxy for quantum well nitride-based devices |
182 | Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge |
183 | Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers |
184 | Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection |
185 | On the role of nanoporosity in controlling the performance of moisture permeation barrier layers |
186 | Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition |
187 | Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma |
188 | Comparison of ammonia plasma and AlN passivation by plasma-enhanced atomic layer deposition |
189 | A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz |
190 | Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition |
191 | Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor |
192 | Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length |
193 | Experimental verification of electro-refractive phase modulation in graphene |
194 | 46-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor |
195 | Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications |
196 | Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization |
197 | Fast PEALD ZnO Thin-Film Transistor Circuits |
198 | Hydrogen plasma enhanced oxide removal on GaSb planar and nanowire surfaces |
199 | Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics |
200 | Protective capping and surface passivation of III-V nanowires by atomic layer deposition |
201 | Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications |
202 | Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition |
203 | Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications |
204 | Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors |
205 | Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells |
206 | In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3 |
207 | Symmetrical Al2O3-based passivation layers for p- and n-type silicon |
208 | Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors |
209 | Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition |
210 | Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal |
211 | Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting |
212 | Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings |
213 | Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries |
214 | Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition |
215 | Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic |
216 | Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric |
217 | Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition |
218 | PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads |
219 | Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing |
220 | Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment |
221 | High-Reflective Coatings For Ground and Space Based Applications |
222 | Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment |
223 | Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium |
224 | Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates |
225 | Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride |
226 | AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD |
227 | Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study |
228 | Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric |
229 | Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition |
230 | Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2 |
231 | Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors |
232 | Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers |
233 | Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures |
234 | Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition |
235 | Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells |
236 | The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces |
237 | AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers |
238 | Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment |
239 | Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition |
240 | Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection |
241 | Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth |
242 | Densification of Thin Aluminum Oxide Films by Thermal Treatments |
243 | Antireflection Coating on PMMA Substrates by Atomic Layer Deposition |
244 | High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3 |
245 | Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition |
246 | Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films |
247 | Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces |
248 | Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs |
249 | Nanoscale Encapsulation of Hybrid Perovskites Using Hybrid Atomic Layer Deposition |
250 | Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes |
251 | Advances in the fabrication of graphene transistors on flexible substrates |
252 | Fabrication and Characterization of Flexible Thin Film Transistors on Thin Solution-Cast Substrates |
253 | Optical and Electrical Properties of AlxTi1-xO Films |
254 | Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell |
255 | Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices |
256 | Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition |
257 | Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition |
258 | The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology |
259 | Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells |
260 | Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering |
261 | Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration |
262 | High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition |
263 | Breakdown and Protection of ALD Moisture Barrier Thin Films |
264 | Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition |
265 | 1D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices |
266 | Hafnia and alumina on sulphur passivated germanium |
267 | Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor |
268 | Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching |
269 | Engineered Tunneling Contacts with Low-Temperature Atomic Layer Deposition of AlN on GaN |
270 | Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating |
271 | Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films |
272 | Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers |
273 | Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition |
274 | Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition |
275 | Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene |
276 | A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors |
277 | A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor |
278 | Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD |
279 | Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess |
280 | Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD |
281 | Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells |
282 | Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures |
283 | Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates |
284 | Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application |
285 | Passivation effects of atomic-layer-deposited aluminum oxide |
286 | Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks |
287 | Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide |
288 | A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors |
289 | Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition |
290 | Properties of AlN grown by plasma enhanced atomic layer deposition |
291 | Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation |
292 | Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques |
293 | Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell |
294 | Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films |
295 | Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si |
296 | Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide |
297 | On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes |
298 | Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films |
299 | Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition |
300 | Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers |
301 | AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition |
302 | Protective capping and surface passivation of III-V nanowires by atomic layer deposition |
303 | On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation |
304 | Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition |
305 | Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories |
306 | Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing |
307 | Low-Power Double-Gate ZnO TFT Active Rectifier |
308 | A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects |
309 | Tribological properties of thin films made by atomic layer deposition sliding against silicon |
310 | On the equilibrium concentration of boron-oxygen defects in crystalline silicon |
311 | Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films |
312 | Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition |
313 | Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition |
314 | Capacitance spectroscopy of gate-defined electronic lattices |
315 | Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition |
316 | Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors |
317 | Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions |
318 | Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics |
319 | Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning |
320 | Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma |
321 | Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications |
322 | AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms |
323 | Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants |
324 | Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors |
325 | Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition |
326 | Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures |
327 | Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition |
328 | Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping |
329 | Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3 |
330 | Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia |
331 | Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers |
332 | Patterned deposition by plasma enhanced spatial atomic layer deposition |
333 | Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films |
334 | Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency |
335 | 823-mA/mm Drain Current Density and 945-MW/cm2 Baliga's Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric |
336 | Perspectives on future directions in III-N semiconductor research |
337 | Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber |
338 | Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films |
339 | N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes |
340 | Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range |
341 | Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment |
342 | Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3 |
343 | Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition |
344 | Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer |
345 | Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films |
346 | Temperature study of atmospheric-pressure plasma-enhanced spatial ALD of Al2O3 using infrared and optical emission spectroscopy |
347 | PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity |
348 | An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD |
349 | Compatibility of AlN/SiNx Passivation With LPCVD-SiNx Gate Dielectric in GaN-Based MIS-HEMT |
350 | Flexible, light trapping substrates for organic photovoltaics |
351 | Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films |
352 | Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition |
353 | AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs |
354 | Optical in situ monitoring of plasma-enhanced atomic layer deposition process |
355 | Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes |
356 | Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing |
357 | Gate Insulator for High Mobility Oxide TFT |
358 | Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma |
359 | Band alignment of Al2O3 with (-201) β-Ga2O3 |
360 | The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides |
361 | Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature |
362 | New materials for memristive switching |
363 | Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration |
364 | Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures |
365 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
366 | Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices |
367 | Surface passivation of GaAs nanowires by the atomic layer deposition of AlN |
368 | Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition |
369 | Practical Challenges of Processing III-Nitride/Graphene/SiC Devices |
370 | Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment |
371 | Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer |
372 | Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching |
373 | The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition |
374 | Optimization of the Surface Structure on Black Silicon for Surface Passivation |
375 | Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction |
376 | Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments |
377 | Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation |
378 | Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon |
379 | Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications |
380 | A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects |
381 | Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems |
382 | Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN |
383 | Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization |
384 | Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma |
385 | Energy-enhanced atomic layer deposition for more process and precursor versatility |
386 | Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks |
387 | Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact |
388 | Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition |
389 | Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2 |
390 | XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition |
391 | Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation |
392 | Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method |
393 | Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications |
394 | Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications |
395 | Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches |
396 | Charge effects of ultrafine FET with nanodot type floating gate |
397 | Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices |
398 | Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes |
399 | Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition |
400 | Sub-nanometer heating depth of atomic layer annealing |
401 | Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer |
402 | Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si |
403 | Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors |
404 | Oxide semiconductor thin film transistors on thin solution-cast flexible substrates |
405 | Improved understanding of recombination at the Si/Al2O3 interface |
406 | Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells |
407 | Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface |
408 | Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation |
409 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
410 | Encapsulation method for atom probe tomography analysis of nanoparticles |
411 | Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition |
412 | MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion |
413 | Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition |
414 | Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe |
415 | Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma |
416 | Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process |
417 | Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface |
418 | High-efficiency embedded transmission grating |
419 | Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses |
420 | Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure |
421 | Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics |
422 | Localized defect states and charge trapping in atomic layer deposited-Al2O3 films |
423 | Plasma enhanced atomic layer deposition of aluminum sulfide thin films |
424 | Low-energy high-flux ion bombardment-induced interfacial mixing during Al2O3 plasma-enhanced atomic layer deposition |
425 | Toward reliable MIS- and MOS-gate structures for GaN lateral power devices |
426 | Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer |
427 | Innovative remote plasma source for atomic layer deposition for GaN devices |
428 | Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature |
429 | Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures |
430 | Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks |
431 | Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties |
432 | A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition |
433 | Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process |
434 | Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors |
435 | Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells |
436 | Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
437 | Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality |
438 | Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications |
439 | In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment |
440 | Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices |
441 | Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect? |
442 | Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition |
443 | Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor |
444 | Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition |
445 | Tribological properties of thin films made by atomic layer deposition sliding against silicon |
446 | Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer |
447 | Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating |
448 | Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks |
449 | Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure |
450 | Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer |
451 | Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices |
452 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
453 | Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition |
454 | Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth |
455 | Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure |
456 | Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks |
457 | Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device |
458 | Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks |
459 | Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy |
460 | Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy |
461 | High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors |
462 | Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide |
463 | Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure |
464 | Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption |
465 | Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs |
466 | Graphene based on-chip variable optical attenuator operating at 855 nm wavelength |
467 | Method of Fabrication for Encapsulated Polarizing Resonant Gratings |
468 | Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process |
469 | Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks |
470 | Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact |
471 | Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC |
472 | Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement |
473 | Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films |
474 | Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides |
475 | Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices |
476 | Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN |
477 | Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon |
478 | Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy |
479 | Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition |
480 | Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells |
481 | Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources |
482 | Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor |
483 | Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes |
484 | Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier |
485 | The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition |
486 | Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition |
487 | Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene |
488 | Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity |
489 | Residual stress study of thin films deposited by atomic layer deposition |
490 | Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics |
491 | Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors |
492 | Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface |
493 | ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent |
494 | Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure |
495 | Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC) |
496 | Compatibility of AlN/SiNx Passivation Technique with High-Temperature Process |
497 | Lithium-Iron (III) Fluoride Battery with Double Surface Protection |
498 | Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3 |
499 | Growth of aluminum nitride films by plasma-enhanced atomic layer deposition |
500 | Self-limiting growth of GaN using plasma-enhanced atomic layer deposition |
501 | Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors |
502 | Thermomechanical properties of aluminum oxide thin films made by atomic layer deposition |
503 | Plasma and Thermal ALD of Al2O3 in a Commercial 200mm ALD Reactor |
504 | Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers |
505 | A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer |
506 | Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer |
507 | Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems |
508 | Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition |
509 | Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition |
510 | Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability |
511 | Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs |
512 | Tuning size and coverage of Pd nanoparticles using atomic layer deposition |
513 | A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition |
514 | High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films |
515 | Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors |
516 | Anti-stiction coating for mechanically tunable photonic crystal devices |
517 | Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition |
518 | In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors |
519 | Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets |
520 | Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon |
521 | Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide |
522 | Nitride passivation of the interface between high-k dielectrics and SiGe |
523 | Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor |
524 | Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions |
525 | Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime |
526 | Single-Cell Photonic Nanocavity Probes |
527 | A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs |
528 | Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3 |
529 | Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering |
530 | Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices |
531 | Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition |
532 | Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces |
533 | Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods |
534 | Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources |
535 | Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers |
536 | Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing |
537 | Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene |
538 | High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning |
539 | Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation |
540 | A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD |
541 | Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes |
542 | Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation |
543 | Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs |
544 | Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators |
545 | Nitride memristors |
546 | Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing |
547 | Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition |
548 | Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films |
549 | Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures |
550 | Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices |
551 | Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition |
552 | Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices |
553 | Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers |
554 | Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor |
555 | Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell |
556 | Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage |
557 | Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation |
558 | Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition |
559 | Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric |
560 | Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3 |
561 | On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR |
562 | Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN |
563 | DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air |
564 | Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films |
565 | Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells |
566 | Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics |
567 | Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric |
568 | Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density |
569 | Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells |
570 | Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors |
571 | Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor |
572 | Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation |
573 | Structural and optical characterization of low-temperature ALD crystalline AlN |
574 | Electrically Excited Plasmonic Nanoruler for Biomolecule Detection |
575 | Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties |
576 | Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating |
577 | DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors |
578 | Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition |
579 | Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction |
580 | Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode |
581 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
582 | Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET |
583 | In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition |
584 | Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer |
585 | Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices |
586 | Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides |
587 | Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications |
588 | Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition |
589 | Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3 |
590 | High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits |
591 | Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy |
592 | Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition |
593 | Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition |
594 | Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters |