H2, Hydrogen, CAS# 1333-74-0

Where to buy

NumberVendorRegionLink
1Proton OnSite🇺🇸Benchtop Hydrogen Generators - 200 to 600cc/min

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 448 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
2Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
3The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
4Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
5Plasma-enhanced atomic layer deposition of Co on metal surfaces
6Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
7Copper-ALD Seed Layer as an Enabler for Device Scaling
8Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
9Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
10Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
11Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
12Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
13Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
14GeSbTe deposition for the PRAM application
15Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
16Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films
17Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
18Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
19Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
20Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
21Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
22Radical Enhanced Atomic Layer Deposition of Metals and Oxides
23Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
24Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
25Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
26Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
27Remote Plasma ALD of Platinum and Platinum Oxide Films
28Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
29Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
30Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
31Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
32In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
33GeSbTe deposition for the PRAM application
34Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
35Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
36Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
37Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
38Fabrication and deformation of three-dimensional hollow ceramic nanostructures
39Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
40P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
41P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
42Radical Enhanced Atomic Layer Deposition of Metals and Oxides
43Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
44Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
45Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
46The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
47The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
48Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
49Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
50Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
51Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
52Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
53Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
54A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
55Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
56Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
57Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
58Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
59Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
60Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
61Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
62Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
63Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
64Plasma enhanced atomic layer deposition of aluminum sulfide thin films
65In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
66PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
67Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
68Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
69Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
70Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
71Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
72Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
73Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
74Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
75Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
76Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
77Study on the characteristics of aluminum thin films prepared by atomic layer deposition
78Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
79Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
80Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
81Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
82Room-Temperature Atomic Layer Deposition of Platinum
83Radical Enhanced Atomic Layer Deposition of Metals and Oxides
84Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
85Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
86Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
87Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
88Nitride memristors
89Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
90Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
91Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
92Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
93Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
94New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
95XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
96Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
97Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
98Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
99Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
100A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
101Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization
102Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
103Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
104Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
105Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
106High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
107Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
108Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
109Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
110Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
111Nanowire single-photon detectors made of atomic layer-deposited niobium nitride
112Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
113Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
114Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
115ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
116Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
117AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
118Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
119Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
120High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
121Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
122Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
123High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
124Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
125Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
126The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
127GeSbTe deposition for the PRAM application
128GeSbTe deposition for the PRAM application
129Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
130Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
131Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
132Structural and optical characterization of low-temperature ALD crystalline AlN
133Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
134Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
135Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
136Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
137Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
138Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy
139Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
140Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
141Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
142Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
143Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
144Self-limiting diamond growth from alternating CFx and H fluxes
145Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
146Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
147Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
148Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
149In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
150Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
151Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
152Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
153Radical Enhanced Atomic Layer Deposition of Metals and Oxides
154Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
155Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
156The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
157Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
158Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
159Sub-10-nm ferroelectric Gd-doped HfO2 layers
160Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen
161Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
162Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
163Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
164Radical Enhanced Atomic Layer Deposition of Metals and Oxides
165Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
166Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
167Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
168In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
169Gadolinium nitride films deposited using a PEALD based process
170Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
171Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
172Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
173Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
174In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
175WS2 transistors on 300 mm wafers with BEOL compatibility
176Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
177Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
178Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
179Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
180Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
181A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
182Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
183AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
184A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
185Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
186Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
187Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
188Microwave properties of superconducting atomic-layer deposited TiN films
189Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
190Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
191New materials for memristive switching
192Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
193Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
194Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
195Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
196Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
197Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
198Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
199Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
200Sub-nanometer heating depth of atomic layer annealing
201Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
202Atmospheric pressure plasma enhanced spatial ALD of silver
203Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
204Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
205Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
206Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
207Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
208Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
209Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
210Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
211Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
212Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
213Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H2-plasma
214Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
215Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
216Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
217Highly-Conformal Amorphous W-Si-N Thin Films by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier for Cu Metallization
218Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
219HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
220Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
221A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
222Evaluation of plasma parameters on PEALD deposited TaCN
223Atomic hydrogen-assisted ALE of germanium
224Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
225Plasma-Enhanced Atomic Layer Deposition of Ni
226Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
227Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
228Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
229Atomic layer epitaxy for quantum well nitride-based devices
230Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
231Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
232A route to low temperature growth of single crystal GaN on sapphire
233High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
234Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
235Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
236Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
237Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
238Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
239Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
240Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
241A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
242Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
243Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
244Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
245Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
246Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
247Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
248In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
249Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
250HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
251Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
252Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
253Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
254Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications
255Atomic layer epitaxy for quantum well nitride-based devices
256Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
257Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
258Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
259Tuning size and coverage of Pd nanoparticles using atomic layer deposition
260Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
261Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
262Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
263Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
264New materials for memristive switching
265Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
266Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
267Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
268In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
269Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
270Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
271Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
272Radical Enhanced Atomic Layer Deposition of Metals and Oxides
273High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
274Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
275Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
276A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
277Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition
278Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
279Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
280Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
281AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
282Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
283Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
284Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
285Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
286Nitride memristors
287Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
288Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
289Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
290High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
291Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
292Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
293Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
294Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
295A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
296Film Uniformity in Atomic Layer Deposition
297Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
298Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
299Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
300Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
301Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD
302Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
303Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
304Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
305Formation of aluminum nitride thin films as gate dielectrics on Si(100)
306Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
307Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
308ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
309Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
310Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
311P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
312Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
313Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
314Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
315Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
316Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
317Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
318Trilayer Tunnel Selectors for Memristor Memory Cells
319Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
320Performance of Samples with Novel SRF Materials and Growth Techniques
321Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
322Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
323Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
324Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
325Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
326Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
327The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
328Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
329Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
330Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
331The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
332Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
333Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
334Atomic Layer Deposition of Niobium Nitride from Different Precursors
335Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
336Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
337Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
338Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
339Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
340Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
341Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
342Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
343GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
344Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
345In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
346Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
347Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
348Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
349Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
350Atomic layer epitaxy of Si using atomic H
351Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
352Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
353Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
354Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
355Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
356PEALD of Copper using New Precursors for Next Generation of Interconnections
357Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
358Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
359Atomic layer epitaxy for quantum well nitride-based devices
360Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
361Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
362Radical Enhanced Atomic Layer Deposition of Metals and Oxides
363Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
364Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
365Optical emission spectroscopy of gallium phosphide plasma-enhanced atomic layer deposition
366Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
367Atomic Layer Deposition of Nanolayered Carbon Films
368Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
369Plasma-enhanced atomic layer deposition of superconducting niobium nitride
370Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
371Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
372AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
373Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
374Radical Enhanced Atomic Layer Deposition of Metals and Oxides
375Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
376Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
377Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
378Radical Enhanced Atomic Layer Deposition of Metals and Oxides
379Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
380Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
381The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
382Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
383Plasma-Assisted Atomic Layer Deposition of Palladium
384Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
385Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
386Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
387Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
388Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
389TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
390The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
391TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
392Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
393Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
394Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
395Plasma-enhanced atomic layer deposition of tungsten nitride
396Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
397In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
398Atomic layer epitaxy of germanium
399Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
400Hydrogen plasma-enhanced atomic layer deposition of copper thin films
401Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
402Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
403Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
404Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
405Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
406Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
407In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
408Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications