H2, Hydrogen, CAS# 1333-74-0

Where to buy

NumberVendorRegionLink
1Proton OnSite🇺🇸Benchtop Hydrogen Generators - 200 to 600cc/min

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 448 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Nitride memristors
2Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
3Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
4Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
5Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
6Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
7Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications
8Performance of Samples with Novel SRF Materials and Growth Techniques
9Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
10GeSbTe deposition for the PRAM application
11Sub-nanometer heating depth of atomic layer annealing
12Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
13Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
14WS2 transistors on 300 mm wafers with BEOL compatibility
15Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
16Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
17Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen
18Radical Enhanced Atomic Layer Deposition of Metals and Oxides
19Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
20Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
21Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
22Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
23Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
24Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
25Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization
26Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
27The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
28Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
29Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
30Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
31Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
32New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
33Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
34Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
35Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
36Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
37Study on the characteristics of aluminum thin films prepared by atomic layer deposition
38Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
39Plasma-Enhanced Atomic Layer Deposition of Ni
40Sub-10-nm ferroelectric Gd-doped HfO2 layers
41In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
42Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
43Plasma enhanced atomic layer deposition of aluminum sulfide thin films
44In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
45Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
46A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
47Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
48Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
49Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
50Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
51Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
52Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
53Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
54Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
55The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
56Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
57Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
58Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
59Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
60Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
61Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
62Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
63Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
64Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
65Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
66Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
67Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
68PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
69Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
70Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
71Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
72GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
73Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
74Trilayer Tunnel Selectors for Memristor Memory Cells
75Tuning size and coverage of Pd nanoparticles using atomic layer deposition
76Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
77Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
78Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
79Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
80Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
81In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
82A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
83TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
84Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
85High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
86Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
87Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
88In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
89Formation of aluminum nitride thin films as gate dielectrics on Si(100)
90Atomic layer epitaxy of Si using atomic H
91High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
92Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
93Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
94Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
95Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
96Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
97Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
98Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
99Plasma-Assisted Atomic Layer Deposition of Palladium
100Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
101In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
102Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
103Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
104A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
105A route to low temperature growth of single crystal GaN on sapphire
106Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
107Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
108Plasma-enhanced atomic layer deposition of superconducting niobium nitride
109Atomic layer epitaxy for quantum well nitride-based devices
110Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
111Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
112Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
113Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
114Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
115Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
116Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
117Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
118Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
119Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
120A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
121Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
122Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
123Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
124Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
125Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
126Radical Enhanced Atomic Layer Deposition of Metals and Oxides
127Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
128Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
129Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
130Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
131The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
132New materials for memristive switching
133Atomic Layer Deposition of Niobium Nitride from Different Precursors
134Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
135Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
136Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
137Radical Enhanced Atomic Layer Deposition of Metals and Oxides
138Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
139Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
140P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
141Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
142Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
143Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
144Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
145Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
146High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
147Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
148Structural and optical characterization of low-temperature ALD crystalline AlN
149Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
150Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
151Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
152Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
153Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
154Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
155Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
156Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy
157Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
158A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
159Hydrogen plasma-enhanced atomic layer deposition of copper thin films
160Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
161Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
162Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
163Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
164Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
165Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
166Atomic hydrogen-assisted ALE of germanium
167Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
168Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
169A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
170Plasma-enhanced atomic layer deposition of Co on metal surfaces
171Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
172Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
173Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
174The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
175Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
176The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
177Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
178Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
179Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
180Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
181Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
182Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
183HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
184Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
185Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
186Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
187Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
188Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
189Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
190Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
191Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
192In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
193High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
194Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
195Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
196Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
197Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
198Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD
199Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
200Microwave properties of superconducting atomic-layer deposited TiN films
201Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
202Atomic layer epitaxy for quantum well nitride-based devices
203Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
204Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
205Radical Enhanced Atomic Layer Deposition of Metals and Oxides
206In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
207Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
208Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
209Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
210Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
211Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
212Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
213Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
214Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
215Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
216Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
217Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
218Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
219Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition
220Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
221Radical Enhanced Atomic Layer Deposition of Metals and Oxides
222Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
223Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
224Fabrication and deformation of three-dimensional hollow ceramic nanostructures
225Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
226Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
227Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
228Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
229Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
230Evaluation of plasma parameters on PEALD deposited TaCN
231Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
232Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
233Gadolinium nitride films deposited using a PEALD based process
234Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
235Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
236Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
237Radical Enhanced Atomic Layer Deposition of Metals and Oxides
238Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
239Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
240Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
241Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
242Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
243Self-limiting diamond growth from alternating CFx and H fluxes
244The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
245The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
246Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
247Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
248Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
249Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
250Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
251P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
252Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
253Radical Enhanced Atomic Layer Deposition of Metals and Oxides
254Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
255Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
256Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
257Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
258GeSbTe deposition for the PRAM application
259Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
260Room-Temperature Atomic Layer Deposition of Platinum
261Highly-Conformal Amorphous W-Si-N Thin Films by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier for Cu Metallization
262Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
263Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
264Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
265Remote Plasma ALD of Platinum and Platinum Oxide Films
266Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
267Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
268AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
269Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
270Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
271Radical Enhanced Atomic Layer Deposition of Metals and Oxides
272Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
273Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
274Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
275Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
276Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
277Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
278Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
279Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
280Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
281Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
282Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
283PEALD of Copper using New Precursors for Next Generation of Interconnections
284Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
285Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
286Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
287Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
288Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
289Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
290Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
291Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
292Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
293A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
294Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
295Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
296Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
297Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
298Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
299Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
300Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
301High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
302Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
303Atomic layer epitaxy for quantum well nitride-based devices
304TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
305ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
306Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
307Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
308Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
309Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
310Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
311GeSbTe deposition for the PRAM application
312Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
313Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
314Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
315Copper-ALD Seed Layer as an Enabler for Device Scaling
316Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
317In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
318Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
319Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
320In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
321Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
322Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
323Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
324Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
325Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
326AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
327Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
328Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
329Plasma-enhanced atomic layer deposition of tungsten nitride
330Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
331XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
332Radical Enhanced Atomic Layer Deposition of Metals and Oxides
333Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
334Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
335Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
336A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
337Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H2-plasma
338Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
339Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
340High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
341AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
342Optical emission spectroscopy of gallium phosphide plasma-enhanced atomic layer deposition
343Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
344Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
345Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
346Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
347Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
348Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
349Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
350Atomic layer epitaxy of germanium
351Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
352Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
353Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
354Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
355Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
356Film Uniformity in Atomic Layer Deposition
357Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
358ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
359Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
360Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
361The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
362Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
363Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
364Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
365Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
366Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
367Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
368Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
369Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
370Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
371GeSbTe deposition for the PRAM application
372Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
373Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
374Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
375Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
376HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
377Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
378Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
379Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
380Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
381Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
382P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
383Atmospheric pressure plasma enhanced spatial ALD of silver
384Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
385Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
386Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
387Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
388Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films
389Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
390The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
391Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
392Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
393Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
394Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
395Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
396Nanowire single-photon detectors made of atomic layer-deposited niobium nitride
397Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
398Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
399Atomic Layer Deposition of Nanolayered Carbon Films
400New materials for memristive switching
401Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
402Nitride memristors
403Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
404AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
405In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
406Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
407Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
408Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN