1 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
2 | Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V |
3 | Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma |
4 | Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers |
5 | Nitride memristors |
6 | Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization |
7 | Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films |
8 | Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer |
9 | Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition |
10 | Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition |
11 | Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System |
12 | Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition |
13 | Structural and optical characterization of low-temperature ALD crystalline AlN |
14 | Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide |
15 | Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films |
16 | Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties |
17 | Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide |
18 | Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition |
19 | Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases |
20 | Atomic Layer Deposition of Nanolayered Carbon Films |
21 | Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition |
22 | Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition |
23 | Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas |
24 | GeSbTe deposition for the PRAM application |
25 | Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma |
26 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
27 | The physical properties of cubic plasma-enhanced atomic layer deposition TaN films |
28 | Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition |
29 | Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas |
30 | Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition |
31 | Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization |
32 | Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide |
33 | Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition |
34 | Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition |
35 | Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition |
36 | Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry |
37 | Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films |
38 | Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces |
39 | Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon |
40 | Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition |
41 | Atomic Layer Deposition of Niobium Nitride from Different Precursors |
42 | Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition |
43 | Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition |
44 | Plasma-enhanced atomic layer deposition of tungsten nitride |
45 | Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition |
46 | Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma |
47 | Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material |
48 | In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating |
49 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
50 | Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method |
51 | Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires |
52 | Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage |
53 | Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant |
54 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
55 | Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates |
56 | Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition |
57 | Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN |
58 | Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices |
59 | Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition |
60 | Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent |
61 | Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers |
62 | Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD |
63 | Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition |
64 | Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies |
65 | Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs |
66 | Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology |
67 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
68 | Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films |
69 | New materials for memristive switching |
70 | Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices |
71 | Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition |
72 | Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes |
73 | The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces |
74 | Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights |
75 | Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures |
76 | Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition |
77 | Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor |
78 | Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications |
79 | The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications |
80 | Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8] |
81 | Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor |
82 | Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application |
83 | Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications |
84 | Plasma-enhanced atomic layer deposition of palladium on a polymer substrate |
85 | Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions |
86 | A controlled growth of WNx and WCx thin films prepared by atomic layer deposition |
87 | Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications |
88 | AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing |
89 | Plasma-Enhanced Atomic Layer Deposition of Ni |
90 | Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition |
91 | Growth mechanism and electrical properties of tungsten films deposited by plasma-enhanced atomic layer deposition with chloride and metal organic precursors |
92 | Plasma-Assisted Atomic Layer Deposition of Palladium |
93 | Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM |
94 | Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing |
95 | Growth of aluminum nitride films by plasma-enhanced atomic layer deposition |
96 | Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution |
97 | Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics |
98 | Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth |
99 | Highly-Conformal Amorphous W-Si-N Thin Films by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier for Cu Metallization |
100 | Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants |
101 | Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization |
102 | Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma |
103 | ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs |
104 | Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition |
105 | Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition |
106 | Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films |
107 | Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition |
108 | Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators |
109 | Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier |
110 | Formation of aluminum nitride thin films as gate dielectrics on Si(100) |
111 | Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride |
112 | Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals |
113 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
114 | Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes |
115 | Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition |
116 | The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films |
117 | Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu |
118 | Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing |
119 | Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing |
120 | Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics |
121 | Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition |
122 | Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation |
123 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
124 | Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition |
125 | Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure |
126 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
127 | Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition |
128 | Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization |
129 | Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl |
130 | Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping |
131 | Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films |
132 | Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition |
133 | GeSbTe deposition for the PRAM application |
134 | A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition |
135 | Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors |
136 | Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings |
137 | Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate |
138 | Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films |
139 | Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition |
140 | Plasma enhanced atomic layer deposition of aluminum sulfide thin films |
141 | The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties |
142 | AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers |
143 | Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper |
144 | Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition |
145 | Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates |
146 | Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition |
147 | Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma |
148 | Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates |
149 | Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD |
150 | Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars |
151 | Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions |
152 | Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies |
153 | Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas |
154 | Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition |
155 | Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods |
156 | PEALD of Copper using New Precursors for Next Generation of Interconnections |
157 | Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition |
158 | Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy |
159 | GeSbTe deposition for the PRAM application |
160 | Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics |
161 | Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma |
162 | Study on the characteristics of aluminum thin films prepared by atomic layer deposition |
163 | Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications |
164 | Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique |
165 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
166 | GeSbTe deposition for the PRAM application |
167 | Plasma-enhanced atomic layer deposition of Ir thin films for copper adhesion layer |
168 | Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation |
169 | Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor |
170 | Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices |
171 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
172 | Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control |
173 | High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition |
174 | Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices |
175 | XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition |
176 | Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition |
177 | Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications |
178 | High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating |
179 | The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition |
180 | Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten |
181 | Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition |
182 | Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor |
183 | Atomic layer epitaxy of germanium |
184 | Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method |
185 | Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum |
186 | Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment |
187 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
188 | Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs |
189 | Trilayer Tunnel Selectors for Memristor Memory Cells |
190 | Optical emission spectroscopy of gallium phosphide plasma-enhanced atomic layer deposition |
191 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
192 | Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects |
193 | Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition |
194 | Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide |
195 | Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor |
196 | Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN |
197 | New materials for memristive switching |
198 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
199 | Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition |
200 | Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition |
201 | Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature |
202 | The role of plasma in plasma-enhanced atomic layer deposition of crystalline films |
203 | Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma |
204 | Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition |
205 | Atomic layer deposition of high-mobility hydrogen-doped zinc oxide |
206 | In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd |
207 | Copper-ALD Seed Layer as an Enabler for Device Scaling |
208 | Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier |
209 | Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD |
210 | Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer |
211 | Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition |
212 | Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma |
213 | Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films |
214 | Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique |
215 | Film Uniformity in Atomic Layer Deposition |
216 | Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition |
217 | Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting |
218 | Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD |
219 | Nanowire single-photon detectors made of atomic layer-deposited niobium nitride |
220 | Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S |
221 | Performance of Samples with Novel SRF Materials and Growth Techniques |
222 | Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films |
223 | A controlled growth of WNx and WCx thin films prepared by atomic layer deposition |
224 | Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study |
225 | Plasma enhanced atomic layer deposition of Co thin film on Ï„-MnAl for effective magnetic exchange coupling and enhanced energy products |
226 | Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers |
227 | Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties |
228 | Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals |
229 | In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films |
230 | Atomic layer epitaxy for quantum well nitride-based devices |
231 | Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition |
232 | Atomic hydrogen-assisted ALE of germanium |
233 | Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition |
234 | GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation |
235 | Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes |
236 | Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure |
237 | Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
238 | Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films |
239 | A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition |
240 | Room-Temperature Atomic Layer Deposition of Platinum |
241 | Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition |
242 | Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration |
243 | Nitride memristors |
244 | Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks |
245 | Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications |
246 | Gadolinium nitride films deposited using a PEALD based process |
247 | Hydrogen plasma enhanced oxide removal on GaSb planar and nanowire surfaces |
248 | Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources |
249 | Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry |
250 | Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes |
251 | Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity |
252 | Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties |
253 | Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma |
254 | Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition |
255 | Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma |
256 | PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity |
257 | A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition |
258 | Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers |
259 | Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories |
260 | Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen |
261 | Ag films grown by remote plasma enhanced atomic layer deposition on different substrates |
262 | TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD |
263 | TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition |
264 | Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN |
265 | Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition |
266 | Tuning size and coverage of Pd nanoparticles using atomic layer deposition |
267 | Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition |
268 | Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications |
269 | Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes |
270 | Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks |
271 | Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films |
272 | Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition |
273 | Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition |
274 | Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum |
275 | A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films |
276 | Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources |
277 | Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage |
278 | Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers |
279 | Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates |
280 | Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition |
281 | Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating |
282 | Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma |
283 | Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals |
284 | Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
285 | Plasma-enhanced atomic layer deposition of superconducting niobium nitride |
286 | Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique |
287 | P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping |
288 | Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization |
289 | The Properties of Cu Thin Films on Ru Depending on the ALD Temperature |
290 | Evaluation of plasma parameters on PEALD deposited TaCN |
291 | In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating |
292 | Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum |
293 | Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition |
294 | Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films |
295 | Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient |
296 | Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals |
297 | Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma |
298 | A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films |
299 | In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications |
300 | In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition |
301 | In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition |
302 | In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition |
303 | Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects |
304 | A route to low temperature growth of single crystal GaN on sapphire |
305 | Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride |
306 | AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms |
307 | High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy |
308 | Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique |
309 | Self-limiting diamond growth from alternating CFx and H fluxes |
310 | WS2 transistors on 300 mm wafers with BEOL compatibility |
311 | Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN |
312 | Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor |
313 | Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper |
314 | Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy |
315 | Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation |
316 | Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition |
317 | Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor |
318 | Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition |
319 | Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications |
320 | Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy |
321 | Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD |
322 | Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum |
323 | Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H2-plasma |
324 | Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen |
325 | Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3 |
326 | Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology |
327 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
328 | Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures |
329 | Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition |
330 | In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications |
331 | Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material |
332 | Atmospheric pressure plasma enhanced spatial ALD of silver |
333 | High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors |
334 | Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition |
335 | Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions |
336 | Fabrication and deformation of three-dimensional hollow ceramic nanostructures |
337 | In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films |
338 | Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition |
339 | Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition |
340 | Sub-10-nm ferroelectric Gd-doped HfO2 layers |
341 | Microwave properties of superconducting atomic-layer deposited TiN films |
342 | HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer |
343 | High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness |
344 | Atomic layer epitaxy of Si using atomic H |
345 | Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds |
346 | Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes |
347 | High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications |
348 | P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping |
349 | The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties |
350 | Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon |
351 | Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating |
352 | Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films |
353 | Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes |
354 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
355 | Alloyed 2D Metal-Semiconductor Atomic Layer Junctions |
356 | AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments |
357 | Sub-nanometer heating depth of atomic layer annealing |
358 | Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor |
359 | Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition |
360 | Atomic layer epitaxy for quantum well nitride-based devices |
361 | Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2 |
362 | Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl |
363 | Plasma-enhanced atomic layer deposition of Co on metal surfaces |
364 | Ultrahigh purity plasma-enhanced atomic layer deposition and electrical properties of epitaxial scandium nitride |
365 | Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions |
366 | Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides |
367 | Remote Plasma ALD of Platinum and Platinum Oxide Films |
368 | Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD |
369 | Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications |
370 | Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma |
371 | Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents |
372 | Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon |
373 | Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application |
374 | Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films |
375 | Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition |
376 | Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources |
377 | Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films |
378 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
379 | Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique |
380 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
381 | Hydrogen plasma-enhanced atomic layer deposition of copper thin films |
382 | Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy |
383 | Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition |
384 | Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces |
385 | Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers |
386 | Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor |
387 | Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3 |
388 | Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition |
389 | P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping |
390 | Atomic layer deposition of TiN for the fabrication of nanomechanical resonators |
391 | Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering |
392 | Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition |
393 | Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition |
394 | HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer |
395 | Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co |
396 | Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films |
397 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
398 | Atomic layer epitaxy for quantum well nitride-based devices |
399 | ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition |
400 | Radical Enhanced Atomic Layer Deposition of Metals and Oxides |
401 | Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements |
402 | Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology |
403 | Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium |
404 | Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition |
405 | Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films |
406 | Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells |
407 | Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition |
408 | A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu |
409 | Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure |
410 | Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films |
411 | Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity |
412 | Plasma Enhanced Atomic Layer Deposition of Ruthenium Films Using Ru(EtCp)2 Precursor |
413 | New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping |
414 | Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications |