H2, Hydrogen, CAS# 1333-74-0

Where to buy

NumberVendorRegionLink
1Proton OnSite🇺🇸Benchtop Hydrogen Generators - 200 to 600cc/min

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 448 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
2Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
3Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
4ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
5Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
6Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films
7Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
8Trilayer Tunnel Selectors for Memristor Memory Cells
9Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
10Atomic layer epitaxy for quantum well nitride-based devices
11Hydrogen plasma-enhanced atomic layer deposition of copper thin films
12Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
13Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy
14Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
15Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
16In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
17Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
18Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
19Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
20Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
21Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
22Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
23Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
24Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
25Formation of aluminum nitride thin films as gate dielectrics on Si(100)
26Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
27Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
28Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
29Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
30Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
31Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
32Evaluation of plasma parameters on PEALD deposited TaCN
33Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
34Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
35Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
36Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
37Study on the characteristics of aluminum thin films prepared by atomic layer deposition
38Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
39Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
40Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
41Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
42Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
43Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
44Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
45AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
46Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
47Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
48Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
49Atomic layer epitaxy for quantum well nitride-based devices
50In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
51Radical Enhanced Atomic Layer Deposition of Metals and Oxides
52Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
53Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
54Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
55Atomic hydrogen-assisted ALE of germanium
56Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
57P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
58Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
59Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
60Radical Enhanced Atomic Layer Deposition of Metals and Oxides
61Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
62Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
63Nitride memristors
64Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
65AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
66Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
67Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
68Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
69Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
70Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
71Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
72Radical Enhanced Atomic Layer Deposition of Metals and Oxides
73A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
74Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
75Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
76Sub-nanometer heating depth of atomic layer annealing
77Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization
78Structural and optical characterization of low-temperature ALD crystalline AlN
79Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
80Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
81A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
82Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
83Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
84In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
85Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
86Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
87Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
88Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
89Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
90GeSbTe deposition for the PRAM application
91Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
92Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
93ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
94High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
95Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
96The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
97PEALD of Copper using New Precursors for Next Generation of Interconnections
98Plasma-Assisted Atomic Layer Deposition of Palladium
99Plasma-enhanced atomic layer deposition of tungsten nitride
100Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
101Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
102Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
103Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
104Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
105Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
106A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
107Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
108Nanowire single-photon detectors made of atomic layer-deposited niobium nitride
109Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
110Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
111Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
112Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
113High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
114Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
115XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
116Atomic layer epitaxy of Si using atomic H
117Radical Enhanced Atomic Layer Deposition of Metals and Oxides
118Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
119Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
120Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
121The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
122P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
123In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
124Tuning size and coverage of Pd nanoparticles using atomic layer deposition
125Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
126Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
127Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
128Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
129Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
130Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
131Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
132Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
133Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
134Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
135Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
136Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
137Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
138Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
139In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
140Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
141Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
142Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
143Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
144Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen
145A route to low temperature growth of single crystal GaN on sapphire
146Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
147Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
148Plasma-enhanced atomic layer deposition of superconducting niobium nitride
149Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
150Highly-Conformal Amorphous W-Si-N Thin Films by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier for Cu Metallization
151Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
152Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
153Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
154Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
155Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
156Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
157Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
158Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
159Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
160High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
161Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
162Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
163Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
164Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
165Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
166Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
167Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
168Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
169Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
170New materials for memristive switching
171Gadolinium nitride films deposited using a PEALD based process
172Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
173GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
174Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
175Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
176In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
177Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
178WS2 transistors on 300 mm wafers with BEOL compatibility
179Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
180Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
181Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
182Plasma-Enhanced Atomic Layer Deposition of Ni
183Radical Enhanced Atomic Layer Deposition of Metals and Oxides
184Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
185Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
186Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
187A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
188HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
189Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
190Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
191Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
192Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
193Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
194Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
195Fabrication and deformation of three-dimensional hollow ceramic nanostructures
196Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
197Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
198Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
199Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
200In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
201Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
202Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
203Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
204Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
205Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
206Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
207Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
208Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
209Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
210A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
211Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
212Performance of Samples with Novel SRF Materials and Growth Techniques
213Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
214Atomic Layer Deposition of Niobium Nitride from Different Precursors
215Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
216Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
217Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
218The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
219Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
220Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
221Copper-ALD Seed Layer as an Enabler for Device Scaling
222GeSbTe deposition for the PRAM application
223Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
224Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
225Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD
226Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
227Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H2-plasma
228Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
229Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
230In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
231Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
232Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
233Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
234Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
235Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
236Nitride memristors
237Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
238Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
239Plasma-enhanced atomic layer deposition of Co on metal surfaces
240Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
241Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
242Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
243Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
244Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
245Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
246Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
247The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
248Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
249Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
250Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications
251Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
252Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
253Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
254Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
255Self-limiting diamond growth from alternating CFx and H fluxes
256Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
257Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
258Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
259In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
260Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
261Atomic Layer Deposition of Nanolayered Carbon Films
262Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
263Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
264Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
265The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
266Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
267Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
268Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
269Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
270Atmospheric pressure plasma enhanced spatial ALD of silver
271Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
272Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
273Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
274New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
275Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
276TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
277Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
278Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
279Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
280AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
281Remote Plasma ALD of Platinum and Platinum Oxide Films
282Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
283Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
284Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
285Film Uniformity in Atomic Layer Deposition
286Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
287Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
288GeSbTe deposition for the PRAM application
289Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
290Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
291Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
292Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
293Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
294Radical Enhanced Atomic Layer Deposition of Metals and Oxides
295Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
296Room-Temperature Atomic Layer Deposition of Platinum
297Atomic layer epitaxy for quantum well nitride-based devices
298A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
299Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
300Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
301Radical Enhanced Atomic Layer Deposition of Metals and Oxides
302Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
303Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
304Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
305High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
306Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
307Plasma enhanced atomic layer deposition of aluminum sulfide thin films
308Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
309P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
310Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
311Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
312A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
313Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
314Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
315Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
316GeSbTe deposition for the PRAM application
317Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition
318Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
319Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
320Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
321Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
322Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
323Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
324New materials for memristive switching
325Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
326Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
327Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
328Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
329In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
330Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
331Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
332Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
333Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
334Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
335Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
336Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
337Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
338Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
339Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
340Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
341Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
342Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
343Sub-10-nm ferroelectric Gd-doped HfO2 layers
344Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
345HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
346Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
347Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
348Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
349Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
350Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
351Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
352Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
353Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
354Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
355Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
356The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
357Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
358Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
359Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
360The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
361Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
362Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
363Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
364Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
365Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
366Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
367Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
368Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
369High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
370Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
371Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
372Atomic layer epitaxy of germanium
373The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
374Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
375Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
376Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
377Radical Enhanced Atomic Layer Deposition of Metals and Oxides
378Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
379Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
380Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
381A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
382Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
383Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
384Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
385Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
386High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
387Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
388Radical Enhanced Atomic Layer Deposition of Metals and Oxides
389TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
390Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
391Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
392AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
393Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
394Microwave properties of superconducting atomic-layer deposited TiN films
395Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
396Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
397Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
398Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
399Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
400Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
401The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
402Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
403Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
404Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
405Optical emission spectroscopy of gallium phosphide plasma-enhanced atomic layer deposition
406Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
407Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
408PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity