Unknown Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Unknown hardware returned 388 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
2Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
3Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
4High pulsed current density β-Ga2O3 MOSFETs verified by an analytical model corrected for interface charge
5A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
6Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
7Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
8Symmetrical Al2O3-based passivation layers for p- and n-type silicon
9An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
10650-V Double-Channel Lateral Schottky Barrier Diode With Dual-Recess Gated Anode
11Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
12Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
13Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
14Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
15Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
16Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
17Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C
18New materials for memristive switching
19Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
20Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
21Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
22Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
23Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
24Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
25Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
26Highly efficient and bending durable perovskite solar cells: toward a wearable power source
27823-mA/mm Drain Current Density and 945-MW/cm2 Baliga's Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric
28Anisotropic Inter-Poly Dielectric technology for conventional floating gate type flash memory
29Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs With PEALD-Grown AlN Gate Dielectric
30High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
31TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
32Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
33Patterning of silicon nitride for CMOS gate spacer technology. III. Investigation of synchronously pulsed CH3F/O2/He plasmas
343D-Branched ZnO/CdS Nanowire Arrays for Solar Water Splitting and the Service Safety Research
35Optimization of the Surface Structure on Black Silicon for Surface Passivation
36Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
37Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
38Comparison of the Low-Frequency Noise of Bulk Triple-Gate FinFETs With and Without Dynamic Threshold Operation
39Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
40Transient characterization of the electroforming process in TiO2 based resistive switching devices
41Chemically-tunable ultrathin silsesquiazane interlayer for n-type and p-type organic transistors on flexible plastic
42Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
43Dynamic tuning of plasmon resonance in the visible using graphene
44Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
45Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
46Graphene-based bimorphs for micron-sized, autonomous origami machines
47Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors
48Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
49A scaled replacement metal gate InGaAs-on-Insulator n-FinFET on Si with record performance
50Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
51Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
52Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
53Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
54On the equilibrium concentration of boron-oxygen defects in crystalline silicon
55Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
56Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
57Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
58PEALD YSZ-based bilayer electrolyte for thin film-solid oxide fuel cells
59Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
60Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
61Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
62Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
63Study on the electrical characteristics of in situ PEALD-passivated HfO2/In0.53Ga0.47As MOSCAP and MOSFET structures
64Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
65Room temperature CO2 detection using interdigitated capacitors with heteropolysiloxane sensing films
66Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
67Epitaxial 1D electron transport layers for high-performance perovskite solar cells
68600 V High-Performance AlGaN/GaN HEMTs with AlN/SiNx Passivation
69Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
70Leakage and trapping characteristics in Au-free AlGaN/GaN Schottky barrier diodes fabricated on C-doped buffer layers
71Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
72Oxygen migration in TiO2-based higher-k gate stacks
73Lifetimes exceeding 1ms in 1-Ohm-cm boron-doped Cz-silicon
74Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
75Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
76ALD TaN Barrier for Enhanced Performance with Low Contact Resistance for 14nm Technology Node Cu Interconnects
77Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
78Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
79Electrical Characteristics of Multilayer MoS2 FET's with MoS2/Graphene Heterojunction Contacts
80Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
81Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
82Theoretical and Experimental Investigation of Graphene/High-κ/p-Si Junctions
83IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
84Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
85Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
86Disrupted Attosecond Charge Carrier Delocalization at a Hybrid Organic/Inorganic Semiconductor Interface
87Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
88All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
89Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
90Experimental and numerical analysis of the effects of ion bombardment in silicon oxide (SiO2) plasma enhanced atomic layer deposition (PEALD) processes
91Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
92Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
93AlN/GaN heterostructure TFTs with plasma enhanced atomic layer deposition of epitaxial AlN thin film
94Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
95Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
96Improved understanding of recombination at the Si/Al2O3 interface
97Device performance tuning of Ge gate-all-around tunneling field effect transistors by means of GeSn: Potential and challenges
98Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
99Capacitance spectroscopy of gate-defined electronic lattices
100Spectroscopy and control of near-surface defects in conductive thin film ZnO
101Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing
102Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
103Potassium Permanganate-Based Slurry to Reduce the Galvanic Corrosion of the Cu/Ru/TiN Barrier Liner Stack during CMP in the BEOL Interconnects
104Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
105Surface Etching of TiO2 Thin Films Using High Density Cl2/Ar Plasma
106Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
107Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
108Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
109Two-stage permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
110Fabrication and Characterization of an Extended-Gate AlGaN/GaN-Based Heterostructure Field-Effect Transistor-Type Biosensor for Detecting Immobilized Streptavidin-Biotin Protein Complexes
111Impact of oxygen plasma on nitrided and annealed atomic layer deposited SiO2/high-k/metal gate for high-voltage input and output fin-shaped field effect transistor devices
112Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
113Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
114A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect
115Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
116Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
117Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
118Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
119Electronic Conduction Mechanisms in Insulators
120Formation of Ni silicide from atomic layer deposited Ni
121High Performance CoOx/Si Photoanodes: Accessing Structural Disorder for Improved Catalytic Activity via Atomic Layer Deposition
122Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
123Reliability and parasitic issues in GaN-based power HEMTs: a review
124Evaluation of NbN thin films grown by MOCVD and plasma-enhanced ALD for gate electrode application in high-k/SiO2 gate stacks
125Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook
126Effects of interface oxidation on the transport behavior of the two-dimensional-electron-gas in AlGaN/GaN heterostructures by plasma-enhanced-atomic-layer-deposited AlN passivation
127Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
128Temperature-controlled atomic layer deposition of GaN using plasma-excited nitrogen source
129Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
130Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
131Impact of gate insulator on the dc and dynamic performance of AlGaN/GaN MIS-HEMTs
132Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
133Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric
134Surface oxidation model in plasma enhanced atomic layer deposition for silicon oxide films including various aminosilane precursors
135Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
136High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
137Oxide Vertical TFTs for the Application to the Ultra High Resolution Display
138Carbon nanotube-supported Cu3N nanocrystals as a highly active catalyst for oxygen reduction reaction
139Simultaneous Roll Transfer and Interconnection of Flexible Silicon NAND Flash Memory
140Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
141Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
142Investigation of Bulk and DTMOS triple-gate devices under 60 MeV proton irradiation
143Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
144Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
145Forming-free metal-oxide ReRAM by oxygen ion implantation process
146Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
147Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
148Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
149Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
150Compatibility of AlN/SiNx Passivation Technique with High-Temperature Process
151Mesoporous perovskite solar cells and the role of nanoscale compact layers for remarkable all-round high efficiency under both indoor and outdoor illumination
152Partitioning Electrostatic and Mechanical Domains in Nanoelectromechanical Relays
153Charge effects of ultrafine FET with nanodot type floating gate
154Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
155Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
156Anti-stiction coating for mechanically tunable photonic crystal devices
157Highly Uniform, Electroforming-Free, and Self-Rectifying Resistive Memory in the Pt/Ta2O5/HfO2-x/TiN Structure
158On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
159A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
160Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
161ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
162Schottky Barrier Height Reduction at Interface Between GZO Transparent Electrode and InP/InGaAs Structure by Zinc Driven-in Step and Nickel Oxide Insertion
163Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
164Reliability and failure physics of GaN HEMT, MIS-HEMT and p-gate HEMTs for power switching applications: Parasitic effects and degradation due to deep level effects and time-dependent breakdown phenomena
165Silicon nanowire networks for multi-stage thermoelectric modules
166Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
167New grating concepts in the NIR and SWIR spectral band for high resolution earth-observation spectrometers
168Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
169Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
170Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
171Post Plasma Oxidation Processed ALD Al2O3/Hf1-xZrxO2 Thin Films on Ge Substrates: Reliability
172Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
173AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
174Al2O3/TiO2 nanolaminate gate dielectric films with enhanced electrical performances for organic field-effect transistors
175Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
176Fast Flexible Plastic Substrate ZnO Circuits
177Evaluation of Stress Induced by Plasma Assisted ALD SiN Film
178Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
179Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
180High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
181A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
182Bipolar resistive switching in amorphous titanium oxide thin film
183Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
184Junction-less nanowire based photodetector: Role of nanowire width
185Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
186Characterization of SiNx/AlN passivation stack with epitaxial AlN grown on AlGaN/GaN heterojunctions by plasma-enhanced atomic layer deposition
187A wearable multiplexed silicon nonvolatile memory array using nanocrystal charge confinement
188Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
189Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
190X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
191Detecting structural variances of Co3O4 catalysts by controlling beam-induced sample alterations in the vacuum of a transmission electron microscope
192Plasma-Enhanced Atomic Layer Deposition Processed Amorphous Indium Zinc Oxide Thin-Film Transistor for Ultra-High Definition Display Application
193Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
194Photo-Patternable ZnO Thin Films Based on Cross-Linked Zinc Acrylate for Organic/Inorganic Hybrid Complementary Inverters
195Flexible integrated circuits and multifunctional electronics based on single atomic layers of MoS2 and graphene
196Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
197GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
198Surface and sensing properties of PE-ALD SnO2 thin film
199Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
200Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN
201Silicon film thickness influence on enhanced dynamic threshold UTBB SOI nMOSFETs
202Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
203Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
204Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass
205The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
206Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
207Performance enhancement of normally-off Al2O3/AlN/GaN MOS-Channel-HEMTs with an ALD-grown AlN interfacial layer
208High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
209Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
210Dynamic threshold voltage influence on Ge pMOSFET hysteresis
211Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
212Growth of ZnO nanorods on fluorine-doped tin oxide substrate without catalyst by radio-frequency magnetron sputtering
213Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
214Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
215AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
216Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
217(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
218Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
219Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
220Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
221Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
222Performance of AlGaN/GaN MISHFET using dual-purpose thin Al2O3 layer for surface protection and gate insulator
223Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
224Fluorinated polymer-grafted organic dielectrics for organic field-effect transistors with low-voltage and electrical stability
225Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
226TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
227Performance Optimization of Au-Free Lateral AlGaN/GaN Schottky Barrier Diode With Gated Edge Termination on 200-mm Silicon Substrate
228Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
229High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
230A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
231Time-Dependent Breakdown Mechanisms and Reliability Improvement in Edge Terminated AlGaN/GaN Schottky Diodes Under HTRB Tests
232Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
233Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
234Enhanced electrical and reliability characteristics in HfON gated Ge p-MOSFETs with H2 and NH3 plasma treated interfacial layers
235Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
236Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
237Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
238Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
239Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications
240DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
241Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
242Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
243Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
244Fully CMOS BEOL compatible HfO2 RRAM cell, with low (μA) program current, strong retention and high scalability, using an optimized plasma enhanced atomic layer deposition (PEALD) process for TiN electrode
245Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
246Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
247Fabrication of Si3N4-Based Artificial Basilar Membrane with ZnO Nanopillar Using MEMS Process
248Experimental verification of electro-refractive phase modulation in graphene
249Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
250Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
251Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
252Compatibility of AlN/SiNx Passivation With LPCVD-SiNx Gate Dielectric in GaN-Based MIS-HEMT
253Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
254Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
255Via sidewall insulation for through cell via contacts
256Hanle-effect measurements of spin injection from Mn5Ge3C0.8/Al2O3-contacts into degenerately doped Ge channels on Si
257Extensionless UTBB FDSOI Devices in Enhanced Dynamic Threshold Mode under Low Power Point of View
258Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
259Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
260Growth of silica nanowires in vacuum
261Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
262Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
263Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
264Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
265Improved retention times in UTBOX nMOSFETs for 1T-DRAM applications
266Controlling threshold voltage and leakage currents in vertical organic field-effect transistors by inversion mode operation
267High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
268Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
269Liquid-phase-deposited siloxane-based capping layers for silicon solar cells
270Low Current Collapse and Low Leakage GaN MIS-HEMT Using AlN/SiN as Gate Dielectric and Passivation Layer
271Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
272Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
273Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
274Negative differential resistance in the I-V curves of Al2O3/AlGaN/GaN MIS structures
275Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
276Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
277Graphene photodetectors with a bandwidth >76 GHz fabricated in a 6" wafer process line
278Stuffing-enabled surface confinement of silanes used as sealing agents on CF4 plasma-exposed 2.0 p-OSG films
279Electronic Instabilities Leading to Electroformation of Binary Metal Oxide-based Resistive Switches
280CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories
281Non-destructive acoustic metrology and void detection in 3x50μm TSV
282Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
283Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
284Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
285Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
286Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
287CMOS-compatible Replacement Metal Gate InGaAs-OI FinFET With ION= 156 μA/μm at VDD= 0.5 V and IOFF= 100 nA/μm
288ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
289Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
290Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride
291Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
292Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
293Self-aligned ZnO thin-film transistors with 860 MHz fT and 2 GHz fmax for large-area applications
294Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
295Detailed Atomistic Modeling of Si(110) Passivation by Atomic Layer Deposition of Al2O3
296Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
297Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
298Effects of NH3 pulse plasma on atomic layer deposition of tungsten nitride diffusion barrier
299PEALD of Copper using New Precursors for Next Generation of Interconnections
300Impacts of conduction band offset and border traps on Vth instability of gate recessed normally-off GaN MIS-HEMTs
301Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
302In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
303Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
304Fabrication of Highly Ordered and Well-Aligned PbTiO3/TiN Core-Shell Nanotube Arrays
305Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
306Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
307Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
308SiNx passivated GaN HEMT by plasma enhanced atomic layer deposition
309Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
3103D structure evolution using metastable atomic layer deposition based on planar silver templates
311Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
312Effect of Plasma Power of Plasma Enhanced Atomic Layer Deposition Process for Gate Insulator Deposition in Top-Gate Thin-Film Transistors
313Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
314High-performance normally off AlGaN/GaN-on-Si HEMTs with partially recessed SiNx MIS structure
315Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
316Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
317Study on the resistive switching time of TiO2 thin films
318Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
319Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
320Improvement on the Passivation Effect of Al2O3 Layer Deposited by PA-ALD in Crystalline Silicon Solar Cells
321RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
322Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
323Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
324Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
325Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
326Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
327High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
328Plasma enhanced atomic layer deposition of SiNx:H and SiO2
329Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
330Trapping and reliability issues in GaN-based MIS HEMTs with partially recessed gate
331Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
332Energy transformation of plasmonic photocatalytic oxidation on 1D quantum well of platinum thin film
333Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
334SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
335Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
336Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
337Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
338Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
339Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
340Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
341PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
342Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
343Electron Transport Across Ultrathin Ferroelectric Hf0.5Zr0.5O2 Films on Si
344Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
345Low-thermal budget flash light annealing for Al2O3 surface passivation
346Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
347Understanding and optimizing the floating body retention in FDSOI UTBOX
348Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
349AlGaN/GaN power schottky diodes with anode dimension up to 100 mm on 200 mm Si substrate
350Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
351Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
352Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
353Passivation of Al2O3/TiO2 on monocrystalline Si with relatively low reflectance
354Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
355Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
356Comparison of ammonia plasma and AlN passivation by plasma-enhanced atomic layer deposition
357Understanding and Eliminating Hysteresis for Highly Efficient Planar Perovskite Solar Cells
358Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
359Trilayer Tunnel Selectors for Memristor Memory Cells
360Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
361Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
362Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
363Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
364Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
365Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
366Semiconductor-like nanofilms assembled with AlN and TiN laminations for nearly ideal graphene-based heterojunction devices
367Method to enhance atomic-layer deposition of tungsten-nitride diffusion barrier for Cu interconnect
368Gate Insulator for High Mobility Oxide TFT
369Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
370Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
371Device Performances Related to Gate Leakage Current in Al2O3/AlGaN/GaN MISHFETs
372Graphene-based MMIC process development and RF passives design
373Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
374Atomic layer deposition of Pd and Pt nanoparticles for catalysis: on the mechanisms of nanoparticle formation
375Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
376Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
377Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
378Wafer-scale, conformal and direct growth of MoS2 thin films by atomic layer deposition
379The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
380Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
381High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma
382DIBL in enhanced dynamic threshold operation of UTBB SOI with different drain engineering at high temperatures
383Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
384Nitride memristors
385High performance AlGaN/GaN HEMTs with AlN/SiNx passivation
386Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
387Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
388Plasma-enhanced atomic layer deposition for plasmonic TiN