SiO2 Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications discussing SiO2 films returned 140 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
2Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
3On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
4Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
5High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
6Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
7High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
8A study on film thickness control of vertical flow showerhead reactor for RF plasma deposition
9Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
10GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
11Residual stress study of thin films deposited by atomic layer deposition
12Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
133D structure evolution using metastable atomic layer deposition based on planar silver templates
14Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
15Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
16Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
17Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
18Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
19Graphene-based bimorphs for micron-sized, autonomous origami machines
20Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
21Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
22Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN
23Comparative study of ALD SiO2 thin films for optical applications
24Programmable on-chip DNA compartments as artificial cells
25Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
26Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass
27Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
28Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
29On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
30Gate Insulator for High Mobility Oxide TFT
31Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
32Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
33Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C
34Atomic layer deposition of metal-oxide thin films on cellulose fibers
35Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
36Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
37Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
38Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
39Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
40Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
41Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
42Optical properties and bandgap evolution of ALD HfSiOx films
43Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
44Plasma enhanced atomic layer deposition of SiNx:H and SiO2
45Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride
46Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
47Impact of degradable nanowires on long-term brain tissue responses
48Surface oxidation model in plasma enhanced atomic layer deposition for silicon oxide films including various aminosilane precursors
49Trapped charge densities in Al2O3-based silicon surface passivation layers
50Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
51Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
52Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
53Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
54Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
55Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
56Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
57Non-destructive acoustic metrology and void detection in 3x50μm TSV
58Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
59Energy-enhanced atomic layer deposition for more process and precursor versatility
60Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
61Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
62Experimental and numerical analysis of the effects of ion bombardment in silicon oxide (SiO2) plasma enhanced atomic layer deposition (PEALD) processes
63An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
64Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
65Investigation of Bulk and DTMOS triple-gate devices under 60 MeV proton irradiation
66Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
67Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
68A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
69Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
70A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
71Design and development of nanoimprint-enabled structures for molecular motor devices
72Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
73Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
74Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
75Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
76Propagating gene expression fronts in a one-dimensional coupled system of artificial cells
77Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
78Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control
79Modal properties of a strip-loaded horizontal slot waveguide
80Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
81Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
82Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
83Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
84Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
85Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
86Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
87Symmetrical Al2O3-based passivation layers for p- and n-type silicon
88Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
89Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
90Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
91Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
92Via sidewall insulation for through cell via contacts
93Breakdown and Protection of ALD Moisture Barrier Thin Films
94Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
95Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook
96Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
97Flexible insulator of hollow SiO2 spheres and polyimide hybrid for flexible OLED
98Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
99Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
100Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
101'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
102Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
103Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
104Order of Dry and Wet Mixed-Length Self-Assembled Monolayers
105Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
106PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
107Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
108Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
109Room temperature CO2 detection using interdigitated capacitors with heteropolysiloxane sensing films
110High-Reflective Coatings For Ground and Space Based Applications
111Single-Cell Photonic Nanocavity Probes
112Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
113Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
114Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
115Impact of oxygen plasma on nitrided and annealed atomic layer deposited SiO2/high-k/metal gate for high-voltage input and output fin-shaped field effect transistor devices
116Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
117HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
118A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
119Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
120Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
121Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
122Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
123Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
124A fully integrated electronic platform for multiplexed intermolecular force spectroscopy
125Radical Enhanced Atomic Layer Deposition of Metals and Oxides
126Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
127Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
128Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
129Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
130Effect of Plasma Power of Plasma Enhanced Atomic Layer Deposition Process for Gate Insulator Deposition in Top-Gate Thin-Film Transistors
131Designing high performance precursors for atomic layer deposition of silicon oxide
132Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
133Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
134Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
135Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
136Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
137Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
138Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
139Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
140Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications