Thickness Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Thickness returned 892 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
2Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
3Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
4Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
5An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
6Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
7WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
8Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
9AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
10In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
11Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
12Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
13Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
14Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
15Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
16Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
17Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
18Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
19Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
20Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
21Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
22Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
23TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
24A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
25Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
26Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
27Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
28Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
29Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
30Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
31Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
32Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
33Plasma-Assisted Atomic Layer Deposition of Palladium
34Atomic layer epitaxy of Si using atomic H
35Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
36Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
37Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
38Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
39Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
40In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
41Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
42Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
43PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
44Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
45PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
46Low temperature plasma enhanced deposition of GaP films on Si substrate
47Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
48Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
49High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
50Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
51Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
52Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
53Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
54Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
55Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
56Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
57Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
58Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
59Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
60Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
61Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
62Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
63In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
64Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
65Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
66Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
67Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
68Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
69Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
70Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
71Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
72GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
73Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
74Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
75Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
76Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
77Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
78Low temperature temporal and spatial atomic layer deposition of TiO2 films
79Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
80Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
81Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
82Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
83Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
84Densification of Thin Aluminum Oxide Films by Thermal Treatments
85Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
86Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
87Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
88Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
89Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
90Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
91Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
92High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
93Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
94Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
95RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
96Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
97Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
98Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
99Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
100Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
101Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
102ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
103Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
104Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
105Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
106Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
107A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
108Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
109Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
110Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
111Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
112Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
113Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
114Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
115Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
116Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
117Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
118Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
119Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
120Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
121A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
122Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
123Properties of AlN grown by plasma enhanced atomic layer deposition
124Copper-ALD Seed Layer as an Enabler for Device Scaling
125Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
126Atomic Layer Deposition of the Solid Electrolyte LiPON
127Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
128Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
129Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
130Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
131Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
132Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
133Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
134Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
135A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
136Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
137Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
138Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
139Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
140Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
141Plasma-enhanced atomic layer deposition of BaTiO3
142Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
143Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
144Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
145Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
146Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
147Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
148Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
149Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
150Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
151Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
152Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
153Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
154Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
155Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
156Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
157Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
158Graphene-based MMIC process development and RF passives design
159Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
160The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
161Plasma Enhanced Atomic Layer Deposition on Powders
162Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
163Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
164Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
165Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
166α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
167Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
168Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
169Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
170Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
171Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
172Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
173Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
174Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
175Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
176Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
177Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
178Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
179Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
180Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
181Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
182Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
183Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
184Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
185Plasma-enhanced atomic layer deposition of tungsten nitride
186Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
187Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
188Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
189Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
190Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
191Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
192Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
193In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
194Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
195Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
196Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
197Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
198Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
199Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
200Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
201The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
202Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
203Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
204Symmetrical Al2O3-based passivation layers for p- and n-type silicon
205Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
206Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
207In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
208Damage evaluation in graphene underlying atomic layer deposition dielectrics
209Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
210Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
211Optimization of the Surface Structure on Black Silicon for Surface Passivation
212Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
213Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
214Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
215Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
216Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
217Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
218Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
219Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
220Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
221Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
222Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
223Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
224The effects of layering in ferroelectric Si-doped HfO2 thin films
225Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
226Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
227Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
228GeSbTe deposition for the PRAM application
229Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
230Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
231Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
232Remote Plasma ALD of Platinum and Platinum Oxide Films
233Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
234Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
235ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
236Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
237Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
238Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
239Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
240Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
241Plasma enhanced atomic layer deposition of zinc sulfide thin films
242Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
243Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
244Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
245Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
246The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
247Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
248Plasma enhanced atomic layer deposition of SiNx:H and SiO2
249Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
250Plasma-enhanced atomic layer deposition of titanium vanadium nitride
251Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
252SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition
253Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
254Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
255Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
256Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
257Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
258Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
259Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
260Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
261ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
262Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
263Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
264Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
265Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
266Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
267Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
268Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
269Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
270Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
271Innovative remote plasma source for atomic layer deposition for GaN devices
272Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
273Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
274Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
275Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
276Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
277Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
278Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
279Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
280AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
281Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
282Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
283Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
284Microwave properties of superconducting atomic-layer deposited TiN films
285Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
286Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
287Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
288Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
289Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
290Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
291Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
292Plasma-enhanced atomic layer deposition of zinc phosphate
293Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
294Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
295Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
296Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
297XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
298Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
299Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
300Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
301Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
302Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
303XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
304Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
305Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
306Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
307Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
308Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
309Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
310ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
311PEALD of Copper using New Precursors for Next Generation of Interconnections
312Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
313Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
314The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
315Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
316Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
317Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
318Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
319Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
320Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
321Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
322Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
3233D structure evolution using metastable atomic layer deposition based on planar silver templates
324Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
325Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
326Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
327Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
328Optical and Electrical Properties of TixSi1-xOy Films
329Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
330Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
331Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
332Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
333Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
334N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
335Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
336Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
337Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
338Plasma enhanced atomic layer deposition of aluminum sulfide thin films
339Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
340Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
341Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
342Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
343PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
344Comparative study of ALD SiO2 thin films for optical applications
345Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
346Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
347Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
348The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
349Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
350Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
351Atomic layer deposition of GaN at low temperatures
352Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
353Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
354Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
355Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
356Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
357Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
358Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
359Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
360Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
361Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
362Topographically selective deposition
363Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
364Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
365Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
366Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
367Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
368High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
369Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
370Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
371Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
372Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
373Evaluation of plasma parameters on PEALD deposited TaCN
374Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
375Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
376Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
377Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
378Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
379Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
380Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
381AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
382Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
383Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
384Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
385Plasma enhanced atomic layer deposition of Fe2O3 thin films
386Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
387A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
388Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
389Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
390Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
391Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
392Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
393Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
394Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
395Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
396Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
397Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
398Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
399Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
400Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
401Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
402Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
403Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
404Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
405Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
406Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
407Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
408Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
409Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
410Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
411Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
412Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
413Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
414Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
415Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
416Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
417Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
418Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
419Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
420Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
421Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
422Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
423Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
424HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
425Spectroscopy and control of near-surface defects in conductive thin film ZnO
426Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
427Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
428Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
429Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
430A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
431Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
432Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
433Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
434Ru thin film grown on TaN by plasma enhanced atomic layer deposition
435Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
436Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
437Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
438Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
439Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
440Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
441MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
442New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
443ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
444Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
445Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
446Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
447Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
448Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
449Patterned deposition by plasma enhanced spatial atomic layer deposition
450Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
451Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
452Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
453Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
454GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
455The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
456Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
457A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
458Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
459Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
460Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
461Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
462Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
463Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
464Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
465Plasma-enhanced atomic layer deposition of superconducting niobium nitride
466Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
467Composite materials and nanoporous thin layers made by atomic layer deposition
468A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
469Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
470Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
471Analysis of nitrogen species in titanium oxynitride ALD films
472Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
473Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
474Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
475Tribological properties of thin films made by atomic layer deposition sliding against silicon
476Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
477Hydrogen plasma-enhanced atomic layer deposition of copper thin films
478Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
479Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
480Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
481Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
482Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
483Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
484In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
485Self-Limiting Growth of GaN at Low Temperatures
486TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
487Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
488Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
489Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
490Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
491Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
492Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
493Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
494The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
495Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
496An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
497Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
498Texture of atomic layer deposited ruthenium
499Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
500Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
501Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
502Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
503Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
504Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
505Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
506Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
507Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
508Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
509Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
510Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
511Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
512Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
513Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
514Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
515Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
516Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
517Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
518Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
519Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
520Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
521Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
522Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
523High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
524High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
525Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
526Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
527Challenges in spacer process development for leading-edge high-k metal gate technology
528Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
529Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
530Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
531Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
532A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
533Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
534Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
535Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
536Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
537Impact of interface materials on side permeation in indirect encapsulation of organic electronics
538Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
539Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
540Gadolinium nitride films deposited using a PEALD based process
541Layer-by-layer epitaxial growth of GaN at low temperatures
542Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
543Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
544Gallium nitride thin films by microwave plasma-assisted ALD
545A route to low temperature growth of single crystal GaN on sapphire
546Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
547Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
548Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
549Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
550Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
551Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
552Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
553Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
554Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
555Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
556Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
557Thin film GaP for solar cell application
558Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
559Atomic layer deposition of titanium nitride from TDMAT precursor
560Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
561Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
562Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
563Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
564Perspectives on future directions in III-N semiconductor research
565Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
566Sub-nanometer heating depth of atomic layer annealing
567TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
568Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
569Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
570Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
571Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
572PEALD AlN: controlling growth and film crystallinity
573Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
574Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
575Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
576Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
577Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
578Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
579Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
580Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
581Room temperature atomic layer deposition of TiO2 on gold nanoparticles
582Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
583Modal properties of a strip-loaded horizontal slot waveguide
584Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
585The important role of water in growth of monolayer transition metal dichalcogenides
586A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
587Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
588Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
589Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
590Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
591Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
592Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
593Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
594Plasma-enhanced atomic layer deposition of vanadium nitride
595Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
596Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
597Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
598Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
599Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
600Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
601Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
602Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
603Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
604Atomic layer epitaxy of germanium
605Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
606Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
607Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
608Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
609Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
610Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
611Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
612Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
613Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
614Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
615In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
616Characteristics of HfO2 thin films grown by plasma atomic layer deposition
617Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
618In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
619Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
620Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
621Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
622Atomic layer epitaxy for quantum well nitride-based devices
623The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
624Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
625Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
626Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
627Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
628Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
629Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
630PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
631Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
632Optical and Electrical Properties of AlxTi1-xO Films
633Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
634RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
635The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
636Plasma-enhanced ALD system for SRF cavity
637An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
638Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
639Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
640Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
641Experimental and theoretical determination of the role of ions in atomic layer annealing
642Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
643Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
644Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
645Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
646Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
647Plasma enhanced atomic layer deposition of Ga2O3 thin films
648Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
649Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
650Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
651The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
652Sub-10-nm ferroelectric Gd-doped HfO2 layers
653Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
654Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
655Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
656Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
657Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
658Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
659The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
660Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
661Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
662Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
663Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
664Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
665Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
666Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
667Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
668Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
669Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
670Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
671Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
672Atomic hydrogen-assisted ALE of germanium
673Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
674Crystalline growth of AlN thin films by atomic layer deposition
675Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
676ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
677Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
678Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
679Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
680Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
681PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
682High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
683Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
684Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
685Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
686Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
687Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
688Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
689Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
690Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
691Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
692Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
693In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
694Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
695Fast PEALD ZnO Thin-Film Transistor Circuits
696Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
697In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
698Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
699Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
700Plasma enhanced atomic layer deposition of gallium sulfide thin films
701Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
702TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
703The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
704Microscopic origin of bipolar resistive switching of nanoscale titanium oxide thin films
705The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
706Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
707Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
708Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
709Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
710Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
711In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
712Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
713Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
714Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
715Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
716Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
717Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
718Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
719Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
720Study on the characteristics of aluminum thin films prepared by atomic layer deposition
721Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
722Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
723Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
724Plasma-enhanced atomic layer deposition for plasmonic TiN
725Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
726Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
727Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
728Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
729Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
730Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
731ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
732Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
733Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
734In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
735Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
736Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
737Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
738A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
739Structural and optical characterization of low-temperature ALD crystalline AlN
740Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
741Electron-enhanced atomic layer deposition of silicon thin films at room temperature
742Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
743Advances in the fabrication of graphene transistors on flexible substrates
744Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
745Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
746Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
747Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
748Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
749Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
750Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
751Atmospheric pressure plasma enhanced spatial ALD of silver
752On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
753Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
754Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
755Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
756Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
757Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
758High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
759Sub-7-nm textured ZrO2 with giant ferroelectricity
760Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
761Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
762Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
763Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
764Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
765Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
766Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
767Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
768Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
769Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
770Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
771Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
772Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
773Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
774Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
775Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
776A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
777Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
778Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
779Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
780Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
781Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
782In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
783Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
784Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
785Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
786Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
787GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
788Room-Temperature Atomic Layer Deposition of Platinum
789Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
790Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
791A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
792Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
793Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
794Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
795Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
796Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
797Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
798Plasma-Enhanced Atomic Layer Deposition of Ni
799Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
800Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
801Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
802Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
803Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
804Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
805Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
806Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
807Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
808Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
809Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
810Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
811Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
812Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
813Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
814Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
815Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
816Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
817Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
818Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
819Carbon content control of silicon oxycarbide film with methane containing plasma
820Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
821Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
822Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
823Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
824Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
825Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
826DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
827Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
828Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
829Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
830Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
831Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
832Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
833Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
834A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
835Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
836Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
837Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
838Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
839Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
840Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
841Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
842Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
843Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
844Atomic layer deposition of YMnO3 thin films
845Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
846Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
847Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
848Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
849Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
850Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
851Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
852Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
853Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
854Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
855Self-limiting diamond growth from alternating CFx and H fluxes
856Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
857Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
858Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
859Atomic Layer Deposition of Niobium Nitride from Different Precursors
860Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
861The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
862The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
863Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
864Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
865Atomic layer deposition of InN using trimethylindium and ammonia plasma
866Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
867Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
868Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
869Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
870Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
871Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
872Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition