Thickness Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Thickness returned 892 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
2Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
3Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
4Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
5Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
6Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
7Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
8Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
9Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
10Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
11Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
12SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition
13Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
14Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
15Plasma-Assisted Atomic Layer Deposition of Palladium
16Layer-by-layer epitaxial growth of GaN at low temperatures
17Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
18Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
19A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
20Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
21Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
22Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
23Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
24Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
25Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
26Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
27Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
28Damage evaluation in graphene underlying atomic layer deposition dielectrics
29Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
30Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
31Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
32Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
33Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
34Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
35Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
36Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
37Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
38Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
39Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
40Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
41Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
42Study on the characteristics of aluminum thin films prepared by atomic layer deposition
43Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
44Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
45Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
46Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
47TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
48A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
49Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
50Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
51Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
52Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
53Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
54A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
55Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
56Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
57Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
58Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
59XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
60Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
61Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
62Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
63Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
64Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
65Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
66Atmospheric pressure plasma enhanced spatial ALD of silver
67Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
68Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
69Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
70Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
71Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
72Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
73Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
74Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
75Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
76Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
77Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
78Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
79Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
80In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
81Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
82Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
83Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
84Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
85Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
86Ru thin film grown on TaN by plasma enhanced atomic layer deposition
87Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
88DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
89Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
90Texture of atomic layer deposited ruthenium
91Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
92Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
93Plasma-enhanced atomic layer deposition of vanadium nitride
94The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
95Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
96Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
97Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
98PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
99Atomic layer deposition of InN using trimethylindium and ammonia plasma
100Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
101Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
102Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
103Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
104Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
105Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
106Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
107The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
108Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
109Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
110Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
111ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
112Atomic layer deposition of titanium nitride from TDMAT precursor
113Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
114Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
115XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
116Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
117Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
118Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
119Atomic layer epitaxy of germanium
120Copper-ALD Seed Layer as an Enabler for Device Scaling
121Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
122Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
123AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
124Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
125On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
126Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
127Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
128Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
129In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
130Spectroscopy and control of near-surface defects in conductive thin film ZnO
131Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
132Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
133Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
134Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
135Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
136ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
137In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
138Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
139Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
140Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
141Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
142Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
143Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
144Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
145Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
146Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
147Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
148Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
149Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
150In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
151Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
152Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
153Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
154Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
155Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
156Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
157Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
158Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
159Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
160Innovative remote plasma source for atomic layer deposition for GaN devices
161Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
162Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
163Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
164Atomic hydrogen-assisted ALE of germanium
165Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
166Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
167Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
168Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
169Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
170Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
171Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
172A route to low temperature growth of single crystal GaN on sapphire
173An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
174An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
175Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
176Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
177Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
178α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
179The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
180Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
181Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
182Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
183Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
184Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
185Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
186Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
187Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
188Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
189The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
190Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
191Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
192ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
193Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
194Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
195Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
196Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
197Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
198Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
199Plasma enhanced atomic layer deposition of aluminum sulfide thin films
200Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
201Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
202The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
203Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
204Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
205Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
206Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
207Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
208High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
209Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
210Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
211Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
212Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
213Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
214Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
215Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
216Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
217Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
218Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
219Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
220Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
221TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
222Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
223Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
224Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
225In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
226Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
227Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
228Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
229Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
230Plasma Enhanced Atomic Layer Deposition on Powders
231Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
232Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
233Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
234Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
235Plasma enhanced atomic layer deposition of SiNx:H and SiO2
236Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
237Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
238Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
239GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
240Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
241Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
242PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
243Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
244Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
245Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
246Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
247Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
248Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
249Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
250Self-Limiting Growth of GaN at Low Temperatures
251Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
252Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
253Optimization of the Surface Structure on Black Silicon for Surface Passivation
254Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
255Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
256Plasma-enhanced atomic layer deposition of superconducting niobium nitride
257Plasma-enhanced atomic layer deposition of zinc phosphate
258Plasma-Enhanced Atomic Layer Deposition of Ni
259Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
260ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
261Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
262Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
263Low temperature temporal and spatial atomic layer deposition of TiO2 films
264Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
265Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
266Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
267Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
268Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
269Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
270Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
271Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
272Plasma-enhanced atomic layer deposition for plasmonic TiN
273Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
274Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
275HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
276Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
277Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
278Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
279Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
280Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
281Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
282Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
283Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
284Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
285Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
286Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
287Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
288Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
289The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
290Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
291Optical and Electrical Properties of TixSi1-xOy Films
292Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
293In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
294GeSbTe deposition for the PRAM application
295Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
296Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
297Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
298Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
299Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
300Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
301Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
302Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
303Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
304Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
305Plasma enhanced atomic layer deposition of Ga2O3 thin films
306Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
307Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
308Microscopic origin of bipolar resistive switching of nanoscale titanium oxide thin films
309Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
310Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
311Plasma-enhanced ALD system for SRF cavity
312GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
313Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
314Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
315Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
316Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
317Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
318Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
319Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
320Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
321Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
322Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
323Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
324ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
325Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
326Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
327Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
328Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
329High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
330A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
331Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
332Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
333Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
334Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
335PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
336Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
337Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
338Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
339Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
340The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
341Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
342Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
343Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
344Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
345Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
346Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
347Optical and Electrical Properties of AlxTi1-xO Films
348Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
349Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
350Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
351Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
352Plasma enhanced atomic layer deposition of zinc sulfide thin films
353Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
354Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
355Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
356Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
357Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
358Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
359Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
360Impact of interface materials on side permeation in indirect encapsulation of organic electronics
361Low temperature plasma enhanced deposition of GaP films on Si substrate
362Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
363Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
364Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
365Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
366High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
367Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
368Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
369Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
370Atomic layer deposition of YMnO3 thin films
371The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
372Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
373Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
374Plasma enhanced atomic layer deposition of gallium sulfide thin films
375Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
376A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
377Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
378Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
379Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
380Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
381Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
382High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
383Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
384Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
385Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
386Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
387Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
388Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
389Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
390Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
391Sub-7-nm textured ZrO2 with giant ferroelectricity
392Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
393Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
394Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
395Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
396Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
397Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
398Plasma-enhanced atomic layer deposition of BaTiO3
399Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
400Properties of AlN grown by plasma enhanced atomic layer deposition
401Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
402Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
403Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
404The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
405Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
406Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
407Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
408Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
409Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
410Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
411Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
412Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
413Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
414Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
415Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
416RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
417Characteristics of HfO2 thin films grown by plasma atomic layer deposition
418Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
419Room temperature atomic layer deposition of TiO2 on gold nanoparticles
420Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
421Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
422Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
423Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
424Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
425Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
426Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
427Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
428PEALD of Copper using New Precursors for Next Generation of Interconnections
429Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
430Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
431Atomic layer epitaxy of Si using atomic H
432Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
433Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
434Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
435The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
436Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
437Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
438Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
439Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
440The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
441Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
442Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
443Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
444Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
445Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
446Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
447Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
448Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
449Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
450Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
451Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
452Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
453Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
454Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
455Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
456A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
457Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
458Topographically selective deposition
459Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
460Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
461Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
462Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
463Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
464Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
465Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
466Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
467Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
468Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
469Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
470Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
471Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
472Challenges in spacer process development for leading-edge high-k metal gate technology
473Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
474Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
475RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
476Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
477Microwave properties of superconducting atomic-layer deposited TiN films
478Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
479Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
480Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
481Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
482Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
483Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
484Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
485In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
486Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
487Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
488Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
489Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
490Modal properties of a strip-loaded horizontal slot waveguide
491Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
492Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
493Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
494Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
495Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
496Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
497An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
498Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
499Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
500High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
501Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
502Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
503Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
504Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
505Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
506Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
507Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
508Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
509Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
510ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
511Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
512Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
513Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
514Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
515Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
516Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
517Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
518Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
519Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
520Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
521In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
522Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
523Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
524Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
525PEALD AlN: controlling growth and film crystallinity
526Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
527Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
528Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
529Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
530Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
531Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
532Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
533Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
534Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
535Gadolinium nitride films deposited using a PEALD based process
536Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
537Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
538Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
539Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
540Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
541Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
542Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
543Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
544Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
545Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
546Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
547Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
548The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
549Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
550Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
551Electron-enhanced atomic layer deposition of silicon thin films at room temperature
552Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
553Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
554Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
555Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
556Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
557Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
558Plasma-enhanced atomic layer deposition of titanium vanadium nitride
559Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
560Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
561Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
562Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
563Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
564Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
565GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
566Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
567Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
568TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
569Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
570Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
571Atomic layer deposition of GaN at low temperatures
572Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
573A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
574Thin film GaP for solar cell application
575Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
576WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
577A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
578Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
579Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
580Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
581A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
582Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
583Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
584Symmetrical Al2O3-based passivation layers for p- and n-type silicon
585Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
586Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
587Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
588Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
589Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
590Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
591Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
592Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
593Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
594Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
595Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
596Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
597Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
598Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
599Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
600Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
601Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
602AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
603Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
604Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
605Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
606Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
607Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
608Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
609Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
610Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
611Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
612MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
613Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
614Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
615Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
616The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
617Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
618Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
619Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
620Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
621Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
622Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
623A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
624Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
625Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
626Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
627In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
628Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
629Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
630In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
631New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
632Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
633Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
634Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
635Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
636Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
637Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
638Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
639Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
640Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
641The important role of water in growth of monolayer transition metal dichalcogenides
642Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
643Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
644Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
645Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
646Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
647The effects of layering in ferroelectric Si-doped HfO2 thin films
648Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
649Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
650Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
651Tribological properties of thin films made by atomic layer deposition sliding against silicon
652Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
653Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
654Densification of Thin Aluminum Oxide Films by Thermal Treatments
655Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
656Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
657Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
658Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
659A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
660Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
661Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
662Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
663Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
664Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
665Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
666Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
667Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
668Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
669Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
670Perspectives on future directions in III-N semiconductor research
671Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
672Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
673Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
674Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
675Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
676Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
677Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
678Carbon content control of silicon oxycarbide film with methane containing plasma
679Remote Plasma ALD of Platinum and Platinum Oxide Films
680Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
681Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
682Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
683Hydrogen plasma-enhanced atomic layer deposition of copper thin films
684Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
685Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
686Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
687Gallium nitride thin films by microwave plasma-assisted ALD
688Graphene-based MMIC process development and RF passives design
689Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
690Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
691Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
692Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
693Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
694A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
6953D structure evolution using metastable atomic layer deposition based on planar silver templates
696Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
697Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
698Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
699Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
700Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
701Sub-nanometer heating depth of atomic layer annealing
702In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
703Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
704The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
705Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
706Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
707Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
708Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
709Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
710Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
711Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
712Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
713High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
714Experimental and theoretical determination of the role of ions in atomic layer annealing
715Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
716Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
717Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
718Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
719Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
720Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
721Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
722Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
723Plasma enhanced atomic layer deposition of Fe2O3 thin films
724Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
725Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
726Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
727Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
728Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
729Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
730Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
731Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
732Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
733Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
734Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
735Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
736Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
737Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
738Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
739Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
740Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
741Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
742Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
743Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
744Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
745Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
746Evaluation of plasma parameters on PEALD deposited TaCN
747AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
748A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
749Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
750Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
751Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
752The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
753Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
754Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
755Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
756Fast PEALD ZnO Thin-Film Transistor Circuits
757Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
758Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
759Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
760A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
761Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
762Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
763Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
764Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
765Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
766Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
767Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
768Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
769Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
770Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
771Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
772Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
773Atomic Layer Deposition of Niobium Nitride from Different Precursors
774Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
775Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
776Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
777Crystalline growth of AlN thin films by atomic layer deposition
778Atomic layer epitaxy for quantum well nitride-based devices
779Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
780Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
781Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
782Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
783Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
784Analysis of nitrogen species in titanium oxynitride ALD films
785Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
786Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
787Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
788Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
789Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
790In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
791Self-limiting diamond growth from alternating CFx and H fluxes
792Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
793Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
794Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
795Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
796Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
797Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
798Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
799Comparative study of ALD SiO2 thin films for optical applications
800Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
801Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
802Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
803Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
804Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
805Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
806Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
807Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
808Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
809Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
810Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
811Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
812Advances in the fabrication of graphene transistors on flexible substrates
813In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
814High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
815Plasma-enhanced atomic layer deposition of tungsten nitride
816Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
817Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
818Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
819PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
820Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
821Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
822Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
823Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
824Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
825Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
826Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
827Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
828Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
829Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
830Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
831Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
832Room-Temperature Atomic Layer Deposition of Platinum
833Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
834Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
835Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
836Sub-10-nm ferroelectric Gd-doped HfO2 layers
837Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
838Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
839Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
840Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
841Structural and optical characterization of low-temperature ALD crystalline AlN
842Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
843Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
844Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
845Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
846Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
847ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
848Atomic Layer Deposition of the Solid Electrolyte LiPON
849Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
850Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
851Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
852Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
853Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
854Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
855Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
856Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
857Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
858Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
859Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
860Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
861Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
862Patterned deposition by plasma enhanced spatial atomic layer deposition
863Composite materials and nanoporous thin layers made by atomic layer deposition
864TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
865N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
866Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
867Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
868Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
869Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
870Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
871PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
872Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition