1 | Plasma-enhanced atomic layer deposition of vanadium nitride |
2 | Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs |
3 | Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation |
4 | Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition |
5 | Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor |
6 | Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition |
7 | Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs |
8 | Study on the characteristics of aluminum thin films prepared by atomic layer deposition |
9 | SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance |
10 | Radical Enhanced Atomic Layer Deposition of Titanium Dioxide |
11 | Electron-enhanced atomic layer deposition of silicon thin films at room temperature |
12 | Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights |
13 | Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition |
14 | Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling |
15 | Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition |
16 | The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties |
17 | Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition |
18 | Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition |
19 | Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition |
20 | The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges |
21 | Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation |
22 | Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering |
23 | Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition |
24 | Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions |
25 | Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition |
26 | Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors |
27 | Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor |
28 | Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer |
29 | Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control |
30 | The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition |
31 | Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate |
32 | Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries |
33 | Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry |
34 | Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays |
35 | AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing |
36 | Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT) |
37 | Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery |
38 | Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition |
39 | Atomic Layer Deposition of the Conductive Delafossite PtCoO2 |
40 | Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition |
41 | Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells |
42 | Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen |
43 | Low-Temperature Phase-Controlled Synthesis of Titanium Di- and Tri-sulfide by Atomic Layer Deposition |
44 | Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu |
45 | High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition |
46 | Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices |
47 | Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition |
48 | Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing |
49 | Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex |
50 | Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing |
51 | Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films |
52 | Direct epitaxial nanometer-thin InN of high structural quality on 4H-SiC by atomic layer deposition |
53 | Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density |
54 | Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces |
55 | Densification of Thin Aluminum Oxide Films by Thermal Treatments |
56 | Oxygen migration in TiO2-based higher-k gate stacks |
57 | Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition |
58 | Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation |
59 | Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition |
60 | Properties of HfAlO film deposited by plasma enhanced atomic layer deposition |
61 | ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices |
62 | The effects of layering in ferroelectric Si-doped HfO2 thin films |
63 | Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O |
64 | Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources |
65 | Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum |
66 | Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology |
67 | High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition |
68 | Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films |
69 | Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia |
70 | Plasma enhanced atomic layer deposition of zinc sulfide thin films |
71 | Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films |
72 | Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD |
73 | Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2 |
74 | Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution |
75 | A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films |
76 | Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates |
77 | Plasma-Enhanced Atomic Layer Deposition of Ni |
78 | Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma |
79 | Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes |
80 | Properties of AlN grown by plasma enhanced atomic layer deposition |
81 | Atomic layer deposition of YMnO3 thin films |
82 | Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation |
83 | Plasma enhanced atomic layer deposition of Ga2O3 thin films |
84 | Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents |
85 | Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films |
86 | Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition |
87 | Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films |
88 | Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage |
89 | Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition |
90 | Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films |
91 | Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon |
92 | Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures |
93 | Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures |
94 | Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition |
95 | Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition |
96 | Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen |
97 | Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method |
98 | Plasma-Modified Atomic Layer Deposition |
99 | Thermomechanical properties of aluminum oxide thin films made by atomic layer deposition |
100 | Thin film GaP for solar cell application |
101 | Sub-10-nm ferroelectric Gd-doped HfO2 layers |
102 | Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia |
103 | Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode |
104 | Plasma enhanced atomic layer deposition of gallium sulfide thin films |
105 | Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma |
106 | Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma |
107 | Evaluation of plasma parameters on PEALD deposited TaCN |
108 | Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films |
109 | Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy |
110 | Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET |
111 | Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma |
112 | Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant |
113 | Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment |
114 | Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S |
115 | Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure |
116 | Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition |
117 | Low temperature growth and optical properties of α-Ga2O3 deposited on sapphire by plasma enhanced atomic layer deposition |
118 | Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes |
119 | Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma |
120 | A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition |
121 | Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits |
122 | Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition |
123 | Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies |
124 | Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition |
125 | Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation |
126 | High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films |
127 | Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films |
128 | Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing |
129 | Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia |
130 | Room-Temperature Atomic Layer Deposition of Platinum |
131 | Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma |
132 | HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition |
133 | Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films |
134 | Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping |
135 | Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations |
136 | Atomic layer deposition of TiN for the fabrication of nanomechanical resonators |
137 | Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD |
138 | Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation |
139 | Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition |
140 | Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition |
141 | Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects |
142 | Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries |
143 | A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition |
144 | Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas |
145 | Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application |
146 | Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology |
147 | Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films |
148 | α-Ga2O3 grown by low temperature atomic layer deposition on sapphire |
149 | Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition |
150 | Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
151 | Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor |
152 | Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films |
153 | Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma |
154 | TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films |
155 | Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition |
156 | Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films |
157 | In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating |
158 | Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition |
159 | Atomic layer deposition of GaN at low temperatures |
160 | Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure |
161 | Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition |
162 | Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure |
163 | Crystalline growth of AlN thin films by atomic layer deposition |
164 | P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping |
165 | Perspectives on future directions in III-N semiconductor research |
166 | Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity |
167 | Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films |
168 | Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes |
169 | Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor |
170 | Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors |
171 | Gallium nitride thin films by microwave plasma-assisted ALD |
172 | In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition |
173 | Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants |
174 | Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization |
175 | Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films |
176 | GeSbTe deposition for the PRAM application |
177 | Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting |
178 | Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate |
179 | Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors |
180 | Comparative study of ALD SiO2 thin films for optical applications |
181 | Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition |
182 | Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film |
183 | Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique |
184 | The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition |
185 | Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition |
186 | Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition |
187 | Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition |
188 | Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition |
189 | Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films |
190 | Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co |
191 | Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl |
192 | Growth mechanism and electrical properties of tungsten films deposited by plasma-enhanced atomic layer deposition with chloride and metal organic precursors |
193 | Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl |
194 | Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor |
195 | Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4 |
196 | Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition |
197 | Plasma-Assisted Atomic Layer Deposition of Palladium |
198 | Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes |
199 | Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells |
200 | Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films |
201 | Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films |
202 | Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices |
203 | Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment |
204 | Sub-nanometer heating depth of atomic layer annealing |
205 | Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications |
206 | Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition |
207 | Atomic layer deposition of InN using trimethylindium and ammonia plasma |
208 | Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition |
209 | Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition |
210 | Atomic layer deposition of high-mobility hydrogen-doped zinc oxide |
211 | Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study |
212 | Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD |
213 | Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu |
214 | Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties |
215 | Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition |
216 | Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes |
217 | Low temperature plasma-enhanced atomic layer deposition of sodium phosphorus oxynitride with tunable nitrogen content |
218 | Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy |
219 | Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition |
220 | Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition |
221 | Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics |
222 | Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition |
223 | Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma |
224 | Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy |
225 | Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition |
226 | Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc |
227 | Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor |
228 | Characteristics of HfO2 thin films grown by plasma atomic layer deposition |
229 | Atomic layer deposition of titanium nitride for quantum circuits |
230 | Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films |
231 | Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors |
232 | In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition |
233 | Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties |
234 | Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition |
235 | Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications |
236 | Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments |
237 | Plasma-enhanced atomic layer deposition of titanium vanadium nitride |
238 | Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2 |
239 | Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition |
240 | In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics |
241 | Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions |
242 | Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure |
243 | Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition |
244 | Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor |
245 | Ru thin film grown on TaN by plasma enhanced atomic layer deposition |
246 | Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition |
247 | Plasma-enhanced atomic layer deposition of BaTiO3 |
248 | Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures |
249 | Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth |
250 | Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode |
251 | Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition |
252 | Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions |
253 | Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8] |
254 | Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells |
255 | Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature |
256 | AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers |
257 | Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating |
258 | Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition |
259 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
260 | Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition |
261 | Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition |
262 | Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures |
263 | Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources |
264 | Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries |
265 | Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors |
266 | Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition |
267 | Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor |
268 | Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films |
269 | Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma |
270 | Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition |
271 | Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition |
272 | NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors |
273 | Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient |
274 | Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition |
275 | Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3 |
276 | Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation |
277 | Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices |
278 | Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition |
279 | Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition |
280 | Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor |
281 | Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications |
282 | Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition |
283 | Plasma-Assisted ALD of LiPO(N) for Solid State Batteries |
284 | In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices |
285 | Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements |
286 | Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition |
287 | Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition |
288 | Plasma enhanced atomic layer deposition of Co thin film on τ-MnAl for effective magnetic exchange coupling and enhanced energy products |
289 | Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition |
290 | Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD |
291 | Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell |
292 | Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD) |
293 | Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights |
294 | Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films |
295 | Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature |
296 | Ag films grown by remote plasma enhanced atomic layer deposition on different substrates |
297 | Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods |
298 | Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications |
299 | Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material |
300 | Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy |
301 | A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition |
302 | Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis |
303 | Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage |
304 | HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer |
305 | Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment |
306 | Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing |
307 | Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers |
308 | Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition |
309 | Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition |
310 | Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity |
311 | Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries |
312 | Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors |
313 | Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals |
314 | Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition |
315 | Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis |
316 | Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition |
317 | Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film |
318 | Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5 |
319 | Plasma enhanced atomic layer deposition of a (nitrogen doped) Ti phosphate coating for improved energy storage in Li-ion batteries |
320 | Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma |
321 | Plasma-enhanced atomic layer deposition of superconducting niobium nitride |
322 | Practical Challenges of Processing III-Nitride/Graphene/SiC Devices |
323 | Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD |
324 | Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO |
325 | Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors |
326 | ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs |
327 | The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2 |
328 | Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films |
329 | Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films |
330 | Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries |
331 | Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma |
332 | Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition |
333 | Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition |
334 | Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition |
335 | Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM |
336 | Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films |
337 | Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing |
338 | Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells |
339 | Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer |
340 | Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition |
341 | Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition |
342 | Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2 |
343 | Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement |
344 | Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films |
345 | Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition |
346 | Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition |
347 | Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries |
348 | The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices |
349 | Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4 |
350 | Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition |
351 | Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method |
352 | High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications |
353 | Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition |
354 | High-Reflective Coatings For Ground and Space Based Applications |
355 | Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer |
356 | Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition |
357 | Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition |
358 | Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide |
359 | Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes |
360 | ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window" |
361 | PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases |
362 | Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors |
363 | Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures |
364 | Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C |
365 | Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials |
366 | Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition |
367 | Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications |
368 | Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material |
369 | Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application |
370 | Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier |
371 | Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma |
372 | Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors |
373 | Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films |
374 | Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer |
375 | Wafer-scale, conformal and direct growth of MoS2 thin films by atomic layer deposition |
376 | Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics |
377 | Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films |
378 | Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics |
379 | Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure |
380 | Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer |
381 | Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications |
382 | A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer |
383 | Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells |
384 | Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks |
385 | Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition |
386 | Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma |
387 | Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition |
388 | X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect |
389 | Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology |
390 | Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel |
391 | Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing |
392 | Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma |
393 | Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition |
394 | Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds |
395 | Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers |
396 | Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride |
397 | 3D structure evolution using metastable atomic layer deposition based on planar silver templates |
398 | Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes |
399 | Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition |
400 | Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma |
401 | Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application |
402 | A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films |
403 | Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition |
404 | Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors |
405 | Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant |
406 | Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition |
407 | Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties |
408 | Atomic layer epitaxy of Si using atomic H |
409 | Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure |
410 | Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices |
411 | Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma |
412 | From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications |
413 | Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties |
414 | Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten |
415 | Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application |
416 | Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas |
417 | Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition |
418 | Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition |
419 | Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition |
420 | Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition |
421 | Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition |
422 | Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics |
423 | Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films |
424 | Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment |
425 | Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition |
426 | Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications |
427 | Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges |
428 | A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu |
429 | Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers |
430 | Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si |
431 | TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition |
432 | TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition |
433 | Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing |
434 | Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films |
435 | Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage |
436 | CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories |
437 | Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors |
438 | Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source |
439 | Experimental and theoretical determination of the role of ions in atomic layer annealing |
440 | Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor |
441 | TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition |
442 | Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition |
443 | The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor |
444 | Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition |
445 | Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method |
446 | Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate |
447 | Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design |
448 | Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices |
449 | Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma |
450 | Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping |
451 | In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition |
452 | Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing |
453 | Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films |
454 | Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4 |
455 | Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide |
456 | Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma |
457 | Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks |
458 | Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition |
459 | Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition |
460 | PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity |
461 | A route to low temperature growth of single crystal GaN on sapphire |
462 | Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition |
463 | Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage |
464 | Tribological properties of thin films made by atomic layer deposition sliding against silicon |
465 | Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter |
466 | Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films |
467 | Plasma enhanced atomic layer deposition of aluminum sulfide thin films |
468 | Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries |
469 | Atomic hydrogen-assisted ALE of germanium |
470 | Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys |
471 | Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization |
472 | Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition |
473 | The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films |
474 | Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration |
475 | Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD) |
476 | Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications |
477 | Atomic structure of conducting nanofilaments in TiO2 resistive switching memory |
478 | The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition |
479 | New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping |
480 | Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method |
481 | Layer-by-layer epitaxial growth of GaN at low temperatures |
482 | PEALD AlN: controlling growth and film crystallinity |
483 | Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces |
484 | Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process |
485 | HfO2 Thin Film Deposited by Remote Plasma Atomic Layer Deposition Method |
486 | Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization |
487 | Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier |
488 | Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution |
489 | Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma |
490 | Fast PEALD ZnO Thin-Film Transistor Circuits |
491 | Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells |
492 | High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3 |
493 | ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium |
494 | Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers |
495 | Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films |
496 | Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma |
497 | Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode |
498 | Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions |
499 | WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications |
500 | Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition |
501 | Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition |
502 | Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition |
503 | Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source |
504 | Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma |
505 | Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition |
506 | Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry |
507 | Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition |
508 | Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures |
509 | The role of plasma in plasma-enhanced atomic layer deposition of crystalline films |
510 | Sub-7-nm textured ZrO2 with giant ferroelectricity |
511 | Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride |
512 | Formation of aluminum nitride thin films as gate dielectrics on Si(100) |
513 | Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor |
514 | Low temperature plasma enhanced deposition of GaP films on Si substrate |
515 | Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide |
516 | Trilayer Tunnel Selectors for Memristor Memory Cells |
517 | Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors |
518 | Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor |
519 | PEALD of a Ruthenium Adhesion Layer for Copper Interconnects |
520 | Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications |
521 | Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes |
522 | Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition |
523 | Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity |
524 | The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications |
525 | Plasma enhanced atomic layer deposition of cobalt nitride with cobalt amidinate |
526 | Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition |
527 | Nanocrystallite Seeding of Metastable Ferroelectric Phase Formation in Atomic Layer-Deposited Hafnia-Zirconia Alloys |
528 | Remote Plasma ALD of Platinum and Platinum Oxide Films |
529 | The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films |
530 | Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications |
531 | Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition |
532 | Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering |
533 | Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors |
534 | Plasma enhanced atomic layer deposition of Fe2O3 thin films |
535 | Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source |
536 | Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas |
537 | Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity |
538 | A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs |
539 | Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition |
540 | Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices |
541 | Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures |
542 | Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries |
543 | Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions |
544 | Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition |
545 | Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films |
546 | Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension |
547 | Texture of atomic layer deposited ruthenium |
548 | High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition |
549 | Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma |
550 | Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN |
551 | Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition |
552 | Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization |
553 | Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy |
554 | An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD |
555 | Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy |
556 | Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases |
557 | Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium |
558 | Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier |
559 | Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films |
560 | Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition |
561 | Self-limiting growth of GaN using plasma-enhanced atomic layer deposition |
562 | Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition |
563 | Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition |
564 | Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu |
565 | Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition |
566 | Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries |
567 | Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates |
568 | Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition |
569 | Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition |
570 | Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition |
571 | Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation |
572 | In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications |
573 | Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene |
574 | Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition |
575 | The physical properties of cubic plasma-enhanced atomic layer deposition TaN films |
576 | A controlled growth of WNx and WCx thin films prepared by atomic layer deposition |
577 | Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD |
578 | In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition |
579 | Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators |
580 | Atomic layer deposition of metal-oxide thin films on cellulose fibers |
581 | Structural and optical characterization of low-temperature ALD crystalline AlN |
582 | Fully CMOS-compatible titanium nitride nanoantennas |
583 | Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics |
584 | Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting |
585 | Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor |
586 | Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage |
587 | Nitride memristors |
588 | Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition |
589 | Si atomic layer epitaxy based on Si2H6 and remote He plasma bombardment |