Crystallinity, Crystal Structure, Grain Size, Atomic Structure Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Crystallinity, Crystal Structure, Grain Size, Atomic Structure returned 601 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
2Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
3Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
4Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
5Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
6Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
7Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
8HfO2 Thin Film Deposited by Remote Plasma Atomic Layer Deposition Method
9Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
10Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
11Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
12Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
13From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
14Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
15Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
16Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
17Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
18In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
19Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
20TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
21AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
22Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
23Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
24NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
25Comparative study of ALD SiO2 thin films for optical applications
26Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
27The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
28Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
29Fully CMOS-compatible titanium nitride nanoantennas
30Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
31Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
32Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
33Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
34Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
35Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
36Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
37Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
38Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
39Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
40Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
41Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
42In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
43Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
44Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
45Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
46Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
47Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
48A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
49Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
50Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
51Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
52Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
53Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
54Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
55HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
56Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
57Atomic layer deposition of GaN at low temperatures
58Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
59Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
60Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
61A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
62A route to low temperature growth of single crystal GaN on sapphire
63Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
64Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
65New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
66Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
67Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
68Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
69In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
70Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
71Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
72Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
73Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
74Gallium nitride thin films by microwave plasma-assisted ALD
75Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
76Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
77The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
78Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
79Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
80Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
81Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
82Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
83Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
84Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
85Sub-10-nm ferroelectric Gd-doped HfO2 layers
86Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
87Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
88Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
89Wafer-scale, conformal and direct growth of MoS2 thin films by atomic layer deposition
90In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
91Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
92Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
93Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
94Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
95Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
96Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
97Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
98SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
99Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
100Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
101Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
102Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
103Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
104Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
105Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
106Plasma-Assisted Atomic Layer Deposition of Palladium
107Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
108Evaluation of plasma parameters on PEALD deposited TaCN
109Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
110Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
111Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
112Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
113Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
114Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
115Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
116Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
117Layer-by-layer epitaxial growth of GaN at low temperatures
118Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
119The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
120Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
121Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
122Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
123Plasma enhanced atomic layer deposition of aluminum sulfide thin films
124Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
125Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
126Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
127Formation of aluminum nitride thin films as gate dielectrics on Si(100)
128PEALD AlN: controlling growth and film crystallinity
129In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
130A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
131Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
132Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
133Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
134Sub-nanometer heating depth of atomic layer annealing
135Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
136Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
137Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
138Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
139Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
140Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
141Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
142Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
143Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
144Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
145Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
146Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
147Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
148Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
149Plasma-enhanced atomic layer deposition of BaTiO3
150Atomic layer deposition of InN using trimethylindium and ammonia plasma
151Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
152Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
153Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
154Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition
155The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
156Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
157Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
158Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
159Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
160In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
161Properties of AlN grown by plasma enhanced atomic layer deposition
162Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
163Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
164Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
165Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
166Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
167Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
168Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
169Electron-enhanced atomic layer deposition of silicon thin films at room temperature
170Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
171Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
172Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
173Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
174The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
175Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
176Oxygen migration in TiO2-based higher-k gate stacks
177Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
178Plasma enhanced atomic layer deposition of zinc sulfide thin films
179Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
180Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
181Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
182Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
183Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
184Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
185Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
186Plasma-Enhanced Atomic Layer Deposition of Ni
187Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
188Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
189The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
190Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
191Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
192Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
193Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
194Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
195Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
196Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
197Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
198Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
199Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
200Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
201Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
202Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
203Plasma enhanced atomic layer deposition of Ga2O3 thin films
204Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
205Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
206In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
207Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
208Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
209Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
210Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
211Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
212Si atomic layer epitaxy based on Si2H6 and remote He plasma bombardment
213Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
214Atomic hydrogen-assisted ALE of germanium
215WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
216Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
217Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
218Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
219Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
220Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
221Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
222Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
223Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
224Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
225Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
226The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
227Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
228Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
229Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
230Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
231Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
232Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
233Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
234Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
235High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
236Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
237In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
238Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
239Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
240α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
241Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
242PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
243Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
244Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
245Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
246Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
247Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
248Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
249Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
250Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
251Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
252Study on the characteristics of aluminum thin films prepared by atomic layer deposition
253Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
254Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
255Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
256Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
257Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
258Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
259Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
260Densification of Thin Aluminum Oxide Films by Thermal Treatments
261Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
262Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
263Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
264Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
265Remote Plasma ALD of Platinum and Platinum Oxide Films
266Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
267Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
268Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
269The effects of layering in ferroelectric Si-doped HfO2 thin films
270The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
271CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories
272TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
273Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
274Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
275Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
276Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
277Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
278Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
279Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
280Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
281Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
282Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
283Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design
284Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
285Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
286Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
287High-Reflective Coatings For Ground and Space Based Applications
288Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
289TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
290A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
291Ru thin film grown on TaN by plasma enhanced atomic layer deposition
292Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
293Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
294Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
295Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
296Atomic layer epitaxy of Si using atomic H
297Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
298A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
299Crystalline growth of AlN thin films by atomic layer deposition
300Plasma-Modified Atomic Layer Deposition
301Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
302Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
303P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
304Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
305Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
306Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
307Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
308Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
309Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
310Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
311Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
312Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
313Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
314Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
315Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
316Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
317Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
318Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
319Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
320Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
321Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride
322Plasma enhanced atomic layer deposition of gallium sulfide thin films
323Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
324Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
325Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
326Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
327Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
328Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
329Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
330Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
331Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
332Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
333Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
334Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
335Fast PEALD ZnO Thin-Film Transistor Circuits
336Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
337Direct epitaxial nanometer-thin InN of high structural quality on 4H-SiC by atomic layer deposition
338Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
339Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
340Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
341Texture of atomic layer deposited ruthenium
342Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
343Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
344Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
345Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
346A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
347The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
348Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
349Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
350Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
351Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
352A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
353Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
354Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
355Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
356Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
357Tribological properties of thin films made by atomic layer deposition sliding against silicon
358Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
359Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
360Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
361Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
362Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
363Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
364Atomic Layer Deposition of the Conductive Delafossite PtCoO2
365Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
366Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
367Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
368Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
369High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
370Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
371Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
372GeSbTe deposition for the PRAM application
373A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
374Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
375Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
376Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
377Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
378Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
379Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
380Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
381Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
382Room-Temperature Atomic Layer Deposition of Platinum
383Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
384PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
385Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
386Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
387Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
388Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
389Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
390Thin film GaP for solar cell application
391Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
392Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
393ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
394Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
395Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
396Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
397Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
398Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
399Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
400Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
401Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
402Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
403Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
404Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
405Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
406HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
407Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
408Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
409Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
410Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
411Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
412Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
413High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
414Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
415Plasma-enhanced atomic layer deposition of vanadium nitride
416Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
417An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
418Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
419Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
420Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
421Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
422Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
423Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
424Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
425Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
426Sub-7-nm textured ZrO2 with giant ferroelectricity
427Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
428Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
429Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
430High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
431Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
432Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
433Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
434Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
435Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
436Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
437Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
438Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
439Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
440Atomic layer deposition of titanium nitride for quantum circuits
441Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
442Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
443Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
444Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
445Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
446Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
447Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
448Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
449Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
450Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
451Experimental and theoretical determination of the role of ions in atomic layer annealing
452ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
453Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
454The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
455A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
456Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
457The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
458Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
459Plasma-enhanced atomic layer deposition of superconducting niobium nitride
460Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
461ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
462Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
463Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
464Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
465Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
466Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
467Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
468Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
469Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
470Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
471Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
472Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
473Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
474Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
475Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
476Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
477Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
478Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
4793D structure evolution using metastable atomic layer deposition based on planar silver templates
480Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
481Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
482Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
483Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
484Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
485Plasma enhanced atomic layer deposition of Fe2O3 thin films
486TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
487Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
488Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
489Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
490AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
491PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
492Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
493Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
494Low temperature plasma enhanced deposition of GaP films on Si substrate
495Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
496Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
497Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
498Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
499Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
500Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
501Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
502Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
503Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
504Trilayer Tunnel Selectors for Memristor Memory Cells
505Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
506Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
507Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
508Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
509X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
510Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
511Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
512Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
513Atomic layer deposition of metal-oxide thin films on cellulose fibers
514Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
515Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
516Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
517Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
518Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
519Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
520Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
521Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
522Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
523Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
524The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
525Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
526Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
527Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
528Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
529Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
530Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
531Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
532High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
533Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
534Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
535Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
536Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
537Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
538Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
539Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
540Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
541Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
542Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
543Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
544Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
545Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
546Characteristics of HfO2 thin films grown by plasma atomic layer deposition
547ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
548Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
549Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
550Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
551Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
552Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
553High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
554Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
555Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
556Nitride memristors
557Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
558Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
559The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
560Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
561Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
562Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
563Perspectives on future directions in III-N semiconductor research
564Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
565Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
566Structural and optical characterization of low-temperature ALD crystalline AlN
567Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
568Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
569Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
570Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
571Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
572Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
573Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
574Plasma-enhanced atomic layer deposition of titanium vanadium nitride
575Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
576Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
577Atomic layer deposition of YMnO3 thin films
578Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
579Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
580Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films