Morphology, Roughness, Topography Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Morphology, Roughness, Topography returned 484 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
2Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
3Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
4Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
5Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
6MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
7Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
8Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
9Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
10Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
11The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
12Breakdown and Protection of ALD Moisture Barrier Thin Films
13Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
14Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
15Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
16Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
17Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
18Evaluation of plasma parameters on PEALD deposited TaCN
19Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
20Hydrogen plasma-enhanced atomic layer deposition of copper thin films
21Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
22Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
23Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
24Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
25Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
26Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
27Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
28A route to low temperature growth of single crystal GaN on sapphire
29Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
30Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
31Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
32Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
33Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
34Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
35Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
36Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
37Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
38Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
39Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
40Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
41Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
42Atomic layer deposition of GaN at low temperatures
43Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
44Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
45Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
46Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
47Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
48Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
49Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
50Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
51Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
52Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
53Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
54Copper-ALD Seed Layer as an Enabler for Device Scaling
55Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
56Study on the characteristics of aluminum thin films prepared by atomic layer deposition
57Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
58Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
59Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
60Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
61Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
62Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
63Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
64A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
65Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
66Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
67Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
68Atomic hydrogen-assisted ALE of germanium
69Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
70In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
71Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
72A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
73Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
74Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
75Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
76Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
77Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
78Plasma-enhanced atomic layer deposition of BaTiO3
79Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
80Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
81Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
82Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
83The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
84Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
85Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
86Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
87The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
88Crystalline growth of AlN thin films by atomic layer deposition
89Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
90Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
91Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
92High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
93AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
94Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
95Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
96Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
97Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
98Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
99Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
100Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
101Plasma-enhanced ALD system for SRF cavity
102Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
103Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
104Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
105Sub-nanometer heating depth of atomic layer annealing
106PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
107Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
108Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
109Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
110Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
111Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
112Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
113Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
114Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
115Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
116Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
117Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
118Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
119Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
120Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
121Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
122ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
123Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
124Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
125Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
126Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
127Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
128Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
129Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
130Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
131Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
132Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
133Oxygen migration in TiO2-based higher-k gate stacks
134Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
135Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
136Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
137Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
138Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
139Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
140A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
141Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
142New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
143Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
144Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
145Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
146Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
147Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
148Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
149Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
150Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
151Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
152Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
153Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
154Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
155PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
156Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
157Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
158Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
159Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
160Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
161Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
162Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
163Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
164Properties of AlN grown by plasma enhanced atomic layer deposition
165Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
166Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
167Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
168Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
169Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
170The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
171Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
172Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
173Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
174High-Reflective Coatings For Ground and Space Based Applications
175A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
176Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
177Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
178Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
179Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
180Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
181Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
182Advances in the fabrication of graphene transistors on flexible substrates
183Plasma-Assisted Atomic Layer Deposition of Palladium
184Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
185Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
186Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
187Plasma enhanced atomic layer deposition of Fe2O3 thin films
188Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
189Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
190Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
191Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
192Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
193Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
194XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
195Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
196Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
197Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
198Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
199Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
200Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
201Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
202Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
203Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
204TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
205Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
206Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
207Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant
208Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
209Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
210Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
211Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
212The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
213Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
214Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
215Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
216Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
217Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
218Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
219High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
220Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
221Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
222Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
223Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
224Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
225Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
226Thin film GaP for solar cell application
227Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
228Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
229Very high frequency plasma reactant for atomic layer deposition
230AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
231Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
232Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
233Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
234Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
235Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
236Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
237Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
238Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
239Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
240Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
241Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
242Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
243Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
244Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
245Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
246Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
247Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
248Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
249Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
250Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
251Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
252Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
253A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
254Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
255Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
256Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
257Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
258Fast PEALD ZnO Thin-Film Transistor Circuits
259Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
260Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
261The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
262Comparative study of ALD SiO2 thin films for optical applications
263Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
264Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
265Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
266Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
267Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
268Plasma enhanced atomic layer deposition of Ga2O3 thin films
269In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
270Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
271Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
272Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
273An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
274Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
275PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
276Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
277Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
278Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
279Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
280The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
281Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
282Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
283Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
284Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
285Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
286(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
287Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
288Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
289Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
290Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
291Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
292Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
293Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
294ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
295Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
296Structural and optical characterization of low-temperature ALD crystalline AlN
297Atomic Layer Deposition of Gold Metal
298Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
299Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
300Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
301Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
302Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
303Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
304Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
305Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
306Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
307Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
308Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
309Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
310Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
311Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
312Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
313Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
314Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
315Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
316Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
317Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
318Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
319Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
320Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
321Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
322Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
323A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
324ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
325Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
326ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
327Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
328Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
329SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
330A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
331Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
332Sub-7-nm textured ZrO2 with giant ferroelectricity
333Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
334Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
335Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
336Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
337Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
338Atomic layer epitaxy of Si using atomic H
339Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
340Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
341Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
342Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
343Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
344Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
345Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
346Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
347Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
348An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
349Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
350Atomic Layer Deposition of the Solid Electrolyte LiPON
351Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
352Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
353WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
354Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
355Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
356Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
357Plasma enhanced atomic layer deposition of gallium sulfide thin films
358Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
359Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
360Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
361Room-Temperature Atomic Layer Deposition of Platinum
362Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
363Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
364Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
365Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
366Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
367Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
368Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
369Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
370Low temperature plasma enhanced deposition of GaP films on Si substrate
371Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
372Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
373Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
374The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
375Remote Plasma ALD of Platinum and Platinum Oxide Films
376Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
377Densification of Thin Aluminum Oxide Films by Thermal Treatments
378Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
379The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
380Tuning size and coverage of Pd nanoparticles using atomic layer deposition
381Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
382Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
383Plasma enhanced atomic layer deposition of zinc sulfide thin films
384Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
385Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
386Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
387Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
388Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
389Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
390Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
391Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
392Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
393Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
394Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
395Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
396Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
397A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
398Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
399Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
400Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
401Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
402Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
403Atomic layer deposition of InN using trimethylindium and ammonia plasma
404Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
405Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
406Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
407Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
408Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
409Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
410Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
411Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
412Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
413Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
414Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
415Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
416Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
417The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
418Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
419Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
420From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
421Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
422In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
423Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
424Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
425Biofilm prevention on cochlear implants
426High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
427Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
428Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
429Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
430In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
431Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
432Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
433Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
434Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
435Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
436Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
437Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
438Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
439The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
440Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
441Improved understanding of recombination at the Si/Al2O3 interface
442Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
443Layer-by-layer epitaxial growth of GaN at low temperatures
444Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
445Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
446Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
447Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
448Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
449Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
450Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
451Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
452Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
453Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
454Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
455Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
456Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
457Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
458Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
459Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
460Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
461Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
462Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
463Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
464Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
465Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
466Experimental and theoretical determination of the role of ions in atomic layer annealing
467Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition