Morphology, Roughness, Topography Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Morphology, Roughness, Topography returned 484 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
2Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
3Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
4Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
5Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
6Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
7Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
8New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
9Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
10In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
11Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
12Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
13Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
14Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
15Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
16Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
17Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
18Atomic Layer Deposition of the Solid Electrolyte LiPON
19Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
20An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
21Plasma-enhanced ALD system for SRF cavity
22XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
23Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
24Plasma-Assisted Atomic Layer Deposition of Palladium
25Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
26Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
27Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
28Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
29Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
30Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
31Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
32Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
33Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
34Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
35In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
36Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
37The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
38Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
39A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
40Evaluation of plasma parameters on PEALD deposited TaCN
41Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
42Layer-by-layer epitaxial growth of GaN at low temperatures
43Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
44Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
45Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
46Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
47Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
48Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
49A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
50Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
51Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
52Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
53Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
54Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
55Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
56Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
57Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
58Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
59Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
60Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
61Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
62Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
63Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
64Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
65Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
66Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
67Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
68Atomic layer deposition of InN using trimethylindium and ammonia plasma
69Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
70Densification of Thin Aluminum Oxide Films by Thermal Treatments
71From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
72The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
73Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
74Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
75Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
76A route to low temperature growth of single crystal GaN on sapphire
77Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
78Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
79Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
80Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
81Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
82Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
83Atomic layer deposition of GaN at low temperatures
84Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
85Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
86Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
87Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
88Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
89Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
90Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
91Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
92Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
93Breakdown and Protection of ALD Moisture Barrier Thin Films
94Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
95Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
96Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
97Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
98Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
99High-Reflective Coatings For Ground and Space Based Applications
100Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
101Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
102Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
103Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
104Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
105Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
106Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
107Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
108Low temperature plasma enhanced deposition of GaP films on Si substrate
109Plasma enhanced atomic layer deposition of gallium sulfide thin films
110Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
111Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
112Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
113Plasma enhanced atomic layer deposition of zinc sulfide thin films
114Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
115Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
116Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
117Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
118Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
119Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
120Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
121Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
122Hydrogen plasma-enhanced atomic layer deposition of copper thin films
123Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
124Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
125Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
126Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
127A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
128Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
129Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
130A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
131Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
132Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
133Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
134Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
135Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
136Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
137Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
138Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
139Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
140Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
141Remote Plasma ALD of Platinum and Platinum Oxide Films
142Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
143Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
144Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
145Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
146Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
147Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
148Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
149TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
150Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
151Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
152Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
153PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
154Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
155Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
156Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
157Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
158Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
159In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
160Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
161Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
162Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
163Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
164Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
165Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
166Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
167Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
168PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
169Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
170In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
171Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
172Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
173Structural and optical characterization of low-temperature ALD crystalline AlN
174The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
175Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
176Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
177Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
178Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
179Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
180Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
181Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
182Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
183Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
184Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
185Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
186Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
187Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
188Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
189Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
190Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
191Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
192A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
193Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
194Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
195Oxygen migration in TiO2-based higher-k gate stacks
196Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
197An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
198Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
199PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
200Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
201Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
202Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
203Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
204Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
205Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
206Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
207Crystalline growth of AlN thin films by atomic layer deposition
208Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
209MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
210The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
211Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
212Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
213Experimental and theoretical determination of the role of ions in atomic layer annealing
214Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
215Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
216The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
217Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
218Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
219Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
220Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
221Sub-nanometer heating depth of atomic layer annealing
222Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
223Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
224Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
225Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
226Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
227Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
228Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
229Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
230Plasma enhanced atomic layer deposition of Fe2O3 thin films
231A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
232Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
233Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
234Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
235Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
236Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
237Plasma enhanced atomic layer deposition of Ga2O3 thin films
238Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
239Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
240Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
241Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
242Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
243Copper-ALD Seed Layer as an Enabler for Device Scaling
244Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
245Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
246Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
247AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
248Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
249Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
250Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
251Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
252Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
253Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
254Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
255Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
256Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
257Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
258Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
259Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
260Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
261Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
262Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
263The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
264Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
265Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
266Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
267Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
268Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
269SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
270Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
271High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
272Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
273Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
274Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
275Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
276Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
277Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
278Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
279Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
280Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
281Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
282Comparative study of ALD SiO2 thin films for optical applications
283Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
284Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
285Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
286Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
287Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
288Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
289Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
290Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
291Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
292Plasma-enhanced atomic layer deposition of BaTiO3
293Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
294Atomic layer epitaxy of Si using atomic H
295In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
296Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
297Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
298A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
299Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
300Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
301Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
302Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
303Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
304Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
305The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
306Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
307Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
308Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
309Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
310Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
311Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
312Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
313Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
314Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
315Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
316Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
317Advances in the fabrication of graphene transistors on flexible substrates
318Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
319Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
320Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
321Properties of AlN grown by plasma enhanced atomic layer deposition
322Atomic hydrogen-assisted ALE of germanium
323Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
324Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
325Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
326Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
327Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
328Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
329(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
330Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
331Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
332Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
333Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
334Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
335Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
336Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
337The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
338High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
339Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
340Study on the characteristics of aluminum thin films prepared by atomic layer deposition
341Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
342Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
343Thin film GaP for solar cell application
344Room-Temperature Atomic Layer Deposition of Platinum
345Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
346Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
347Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
348Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
349High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
350Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
351Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
352Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
353Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
354Tuning size and coverage of Pd nanoparticles using atomic layer deposition
355Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
356Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
357Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
358Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
359Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
360Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
361Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
362Sub-7-nm textured ZrO2 with giant ferroelectricity
363Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
364Fast PEALD ZnO Thin-Film Transistor Circuits
365Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
366Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
367Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
368Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
369Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
370Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
371Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
372Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
373Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
374Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
375Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
376Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
377Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
378Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
379Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
380Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
381Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
382Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
383Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
384Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
385Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
386Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
387Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
388Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
389ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
390Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
391Atomic Layer Deposition of Gold Metal
392Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
393Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
394Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
395Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
396Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
397Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
398Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
399The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
400Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
401Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
402Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
403Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
404Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
405Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
406Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
407Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
408Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
409Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
410ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
411ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
412Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
413Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
414Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
415Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
416Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
417Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant
418The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
419AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
420Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
421Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
422Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
423Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
424A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
425Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
426Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
427Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
428Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
429Very high frequency plasma reactant for atomic layer deposition
430Improved understanding of recombination at the Si/Al2O3 interface
431Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
432Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
433Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
434ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
435Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
436Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
437Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
438Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
439Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
440Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
441Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
442Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
443WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
444Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
445Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
446The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
447Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
448Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
449Biofilm prevention on cochlear implants
450Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
451Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
452Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
453Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
454Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
455Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
456Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
457Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
458Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
459Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
460Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
461Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
462Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
463Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
464Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
465Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
466Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
467Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma