N2, Nitrogen, CAS# 7727-37-9

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 380 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
2Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
3Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
4Atmospheric pressure plasma enhanced spatial ALD of silver
5Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
6Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
7Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
8Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
9Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
10Sub-10-nm ferroelectric Gd-doped HfO2 layers
11AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
12Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
13Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
14Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
15Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
16Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
17Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
18Atomic layer epitaxy for quantum well nitride-based devices
19Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
20High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
21Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
22Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
23Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
24Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
25Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects
26Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
27Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
28Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
29Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
30Fabrication and deformation of three-dimensional hollow ceramic nanostructures
31AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
32Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
33Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
34New materials for memristive switching
35SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition
36Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
37Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
38Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
39Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
40AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
41Atomic layer deposition of titanium nitride from TDMAT precursor
42The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
43Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
44Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
45Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
46Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
47Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
48New materials for memristive switching
49Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
50Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature
51Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
52Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
53Radical Enhanced Atomic Layer Deposition of Metals and Oxides
54High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
55High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
56Atomic Layer Deposition of the Solid Electrolyte LiPON
57Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
58Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
59Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
60Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
61TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
62Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
63Long-term ambient surface oxidation of titanium oxynitride films prepared by plasma-enhanced atomic layer deposition: An XPS study
64Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
65Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
66Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
67Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
68PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
69Annealing behavior of ferroelectric Si-doped HfO2 thin films
70Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
71Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
72Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
73Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
74Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
75Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
76Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
77Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
78Trilayer Tunnel Selectors for Memristor Memory Cells
79Fundamental beam studies of radical enhanced atomic layer deposition of TiN
80The effects of plasma treatment on the thermal stability of HfO2 thin films
81Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
82Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
83Compatibility of AlN/SiNx Passivation Technique with High-Temperature Process
84Plasma-enhanced atomic layer deposition of Co on metal surfaces
85Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
86Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
87Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
88Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
89A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
90Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
91Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
92Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
93Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
94Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
95Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
96Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
97Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
98Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
99Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
100Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
101Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
102Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
103Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
104Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
105Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature
106Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
107Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
108Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
109Nitride memristors
110ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
111AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
112Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
113Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
114A route to low temperature growth of single crystal GaN on sapphire
115Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
116Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
117Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
118GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
119Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
120Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
121In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
122The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
123Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
124Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
125Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
126Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
127Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
128Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
129Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
130Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
131Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
132The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
133Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
134Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
135Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
136Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
137Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
138Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
139Plasma-enhanced atomic layer deposition of titanium vanadium nitride
140Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
141Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
142Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
143Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
144Plasma enhanced atomic layer deposition of SiNx:H and SiO2
145PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
146Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
147Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
148Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
149Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
150Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
151Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
152Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
153Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
154Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
155Atomic layer epitaxy for quantum well nitride-based devices
156Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
157Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
158Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
159Atomic Layer Deposition of Niobium Nitride from Different Precursors
160Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
161Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
162Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
163Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
164Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
165Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
166Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
167Silicon nanowire networks for multi-stage thermoelectric modules
168Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
169Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
170Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
171The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
172Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
173Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
174Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
175Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
176Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
177Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
178Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
179Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
180Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
181Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
182Plasma-enhanced atomic layer deposition of titanium vanadium nitride
183Plasma-enhanced atomic layer deposition of vanadium nitride
184Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
185Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
186ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
187Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
188Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
189Gadolinium nitride films deposited using a PEALD based process
190Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
191Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
192Plasma-enhanced atomic layer deposition of titanium vanadium nitride
193Modal properties of a strip-loaded horizontal slot waveguide
194Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
195Perspectives on future directions in III-N semiconductor research
196Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
197Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
198Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
199The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
200Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
201Engineered Tunneling Contacts with Low-Temperature Atomic Layer Deposition of AlN on GaN
202Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
203Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
204Plasma-enhanced atomic layer deposition of superconducting niobium nitride
205Film Uniformity in Atomic Layer Deposition
206ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
207Improvement of Vth Instability in Normally-Off GaN MIS-HEMTs Employing PEALD-SiNx as an Interfacial Layer
208Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
209Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
210Analysis of titanium species in titanium oxynitride films prepared by plasma enhanced atomic layer deposition
211Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
212Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
213Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
214Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
215In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
216Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
217Structural and optical characterization of low-temperature ALD crystalline AlN
218Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
219Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
220Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
221Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
222Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
223Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
224Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
225Silicon nanowire lithium-ion battery anodes with ALD deposited TiN coatings demonstrate a major improvement in cycling performance
226Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
227Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
228Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
229Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
230AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
231A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
232Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
233Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
234Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
235Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
236Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
237Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
238Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
239Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
240Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
241Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
242Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
243Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
244Perspectives on future directions in III-N semiconductor research
245Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
246Analysis of nitrogen species in titanium oxynitride ALD films
247Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
248Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
249Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
250Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
251Gallium nitride thin films by microwave plasma-assisted ALD
252Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
253Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
254Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
255High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
256Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
257Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
258AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
259Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
260A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
261XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
262Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
263In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
264Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
265Barrier Characteristics of ZrN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Using Tetrakis(diethylamino)zirconium Precursor
266Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
267Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
268The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
269A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
270Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
271Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
272Plasma-enhanced atomic layer deposition of tungsten nitride
273Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
274Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
275AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
276Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
277Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
278Compatibility of AlN/SiNx Passivation With LPCVD-SiNx Gate Dielectric in GaN-Based MIS-HEMT
279Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
280Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
281Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
282Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
283Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
284Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
285Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
286In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
287In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
288ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
289Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
290Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
291Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
292In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
293High-Voltage and Low-Leakage-Current Gate Recessed Normally-Off GaN MIS-HEMTs With Dual Gate Insulator Employing PEALD-SiNx/RF-Sputtered-HfO2
294Atomic layer deposition of titanium nitride for quantum circuits
295Sub-nanometer heating depth of atomic layer annealing
296Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
297Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
298Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
299Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
300Atomic layer epitaxy for quantum well nitride-based devices
301Perspectives on future directions in III-N semiconductor research
302Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors
303Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
304Nitride memristors
305Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
306Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
307Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
308Radical Enhanced Atomic Layer Deposition of Metals and Oxides
309Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
310Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
311Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
312In-gap states in titanium dioxide and oxynitride atomic layer deposited films
313Performance of Samples with Novel SRF Materials and Growth Techniques
314Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
315Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
316Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
317Microwave properties of superconducting atomic-layer deposited TiN films
318Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
319Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
320Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
321An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
322Perspectives on future directions in III-N semiconductor research
323Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
324Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
325Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
326Fully CMOS-compatible titanium nitride nanoantennas
327Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
328Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
329In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
330Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
331Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
332Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
333Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition