H2O, Water, CAS# 7732-18-5

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 344 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
2Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
3Gate Insulator for High Mobility Oxide TFT
4Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
5Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
6Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
7Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
8The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
9Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
10Fast Flexible Plastic Substrate ZnO Circuits
11Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
12Enhanced electrical and reliability characteristics in HfON gated Ge p-MOSFETs with H2 and NH3 plasma treated interfacial layers
13Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
14Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
15Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
16Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
17In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
18Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
19Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
20Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
21Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
22Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
23Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
24Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
25Breakdown and Protection of ALD Moisture Barrier Thin Films
26Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
27Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
28Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
29Atomic Layer Deposition of Gold Metal
30Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
31Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
32Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
33Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
34Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
35RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
36Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
37A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
38Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
39Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
40Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
41Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
42Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
43Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
44High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
45Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
46Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
47The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
48Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
49Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
50AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
51Tribological properties of thin films made by atomic layer deposition sliding against silicon
52Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
53In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
54Trapped charge densities in Al2O3-based silicon surface passivation layers
55Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
56Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
57Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
58Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
59Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
60Protective capping and surface passivation of III-V nanowires by atomic layer deposition
61Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
62Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
63Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
64P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
65Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
66Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
67Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
68The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
69Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
70Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
71Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
72Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
73The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
74Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
75Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
76Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
77In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
78Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
79Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
80MANOS performance dependence on ALD Al2O3 oxidation source
81Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
82Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
83Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
84Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
85Atomic layer deposition of metal-oxide thin films on cellulose fibers
86Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
87Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
88Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
89Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
90Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
91Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
92Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
93Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
94Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
95Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
96Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
97In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
98Protective capping and surface passivation of III-V nanowires by atomic layer deposition
99In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
100Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
101Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
102AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
103Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
104Single-Cell Photonic Nanocavity Probes
105Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
106Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
107Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
108Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
109Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
110Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
111Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
112Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
113A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
114Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
115Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
116Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
117High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
118Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
119Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
120The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
121A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
122Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
123Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
124Symmetrical Al2O3-based passivation layers for p- and n-type silicon
125Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
126Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
127Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
128Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
129Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
130On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
131Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
132Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
133Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
134Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
135Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
136Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
137Improved understanding of recombination at the Si/Al2O3 interface
138Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
139A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
140Atomic Layer Deposition of the Solid Electrolyte LiPON
141Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
142Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
143Three dimensional ALD of TiO2 for in-vivo biomedical sensor applications
144High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
145Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
146Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
147Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
148Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
149Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
150Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
151Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
152Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
153Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
154Passivation effects of atomic-layer-deposited aluminum oxide
155Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
156Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
157Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
158Nitride passivation of the interface between high-k dielectrics and SiGe
159Low temperature temporal and spatial atomic layer deposition of TiO2 films
160Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
161The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
162Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
163Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
164Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
165Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
166Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
167Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
168Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
169Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
170Hafnia and alumina on sulphur passivated germanium
171In-gap states in titanium dioxide and oxynitride atomic layer deposited films
172Single-Cell Photonic Nanocavity Probes
173Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
174Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
175Trapped charge densities in Al2O3-based silicon surface passivation layers
176Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
177Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
178Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
179Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
180Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
181AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
182Encapsulation method for atom probe tomography analysis of nanoparticles
183Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
184Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
185Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
186Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
187AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
188Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
189Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
190Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
191Hafnia and alumina on sulphur passivated germanium
192Symmetrical Al2O3-based passivation layers for p- and n-type silicon
193Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
194Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
195Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
196Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
197Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
198The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
199Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
200Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
201Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
202Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
203AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
204Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
205Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
206Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
207Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
208Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
209Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
210X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
211On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
212A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
213Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
214Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
215Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
216Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
217Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
218AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
219Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
220Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
221Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
222P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
223Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
224Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
225An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
226P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
227Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
228Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
229Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
230Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
231Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
232Tribological properties of thin films made by atomic layer deposition sliding against silicon
233Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
234Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
235Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
236RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
237Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
238Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
239Plasma enhanced atomic layer deposition of Ga2O3 thin films
240Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
241Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
242Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
243Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
244Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
245Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
246Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
247Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
248Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
249Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
250Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
251Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
252Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
253Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
254Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
255Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
256Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
257Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
258Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
259Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
260Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
261Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
262Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
263Room temperature atomic layer deposition of TiO2 on gold nanoparticles
264RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
265Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
266Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
267Experimental verification of electro-refractive phase modulation in graphene
268Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
269High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
270Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
271Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
272Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
273Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
274Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
275Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
276Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
277Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
278Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
279In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
280Fiber-matrix interface reinforcement using Atomic Layer Deposition
281A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
282Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
283Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
284P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
285Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
286Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
287Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
288Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
289Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
290Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
291Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
292Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
293Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
294New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
295In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
296Breakdown and Protection of ALD Moisture Barrier Thin Films
297Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
298AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
299Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
300Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
301Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
302Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
303A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
304Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
305Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
306Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
307Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
308Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
309Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
310Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
311Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
312Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
313Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
314Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
315Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
316Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
317Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
318Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
319Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
320Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
321Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
322Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
323Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
324Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
325Room-temperature atomic layer deposition of ZrO2 using tetrakis(ethylmethylamino)zirconium and plasma-excited humidified argon
326Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
327Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
328Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
329Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
330Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
331Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
332A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
333Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
334Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
335Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
336Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
337Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
338Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
339Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
340Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
341Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
342Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping