H2O, Water, CAS# 7732-18-5

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 344 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
2Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
3New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
4Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
5Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
6Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
7Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
8Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
9Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
10Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
11Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
12Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
13Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
14Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
15In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
16Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
17Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
18The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
19Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
20Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
21Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
22Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
23Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
24Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
25Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
26Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
27Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
28Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
29Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
30In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
31Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
32The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
33Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
34Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
35Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
36Atomic Layer Deposition of the Solid Electrolyte LiPON
37Protective capping and surface passivation of III-V nanowires by atomic layer deposition
38Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
39Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
40Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
41Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
42A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
43Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
44Improved understanding of recombination at the Si/Al2O3 interface
45Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
46Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
47Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
48Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
49Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
50Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
51Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
52Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
53Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
54Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
55Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
56A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
57Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
58Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
59High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
60Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
61Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
62Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
63Room temperature atomic layer deposition of TiO2 on gold nanoparticles
64Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
65Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
66Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
67Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
68A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
69Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
70Symmetrical Al2O3-based passivation layers for p- and n-type silicon
71Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
72Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
73Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
74Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
75Hafnia and alumina on sulphur passivated germanium
76Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
77AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
78Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
79In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
80Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
81Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
82Tribological properties of thin films made by atomic layer deposition sliding against silicon
83Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
84Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
85Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
86Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
87Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
88Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
89The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
90Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
91Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
92Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
93Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
94AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
95A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
96Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
97Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
98Tribological properties of thin films made by atomic layer deposition sliding against silicon
99Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
100Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
101Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
102Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
103Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
104Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
105Experimental verification of electro-refractive phase modulation in graphene
106Fast Flexible Plastic Substrate ZnO Circuits
107X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
108Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
109Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
110Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
111Trapped charge densities in Al2O3-based silicon surface passivation layers
112Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
113Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
114AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
115Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
116Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
117Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
118Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
119The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
120A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
121Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
122Symmetrical Al2O3-based passivation layers for p- and n-type silicon
123Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
124Atomic Layer Deposition of Gold Metal
125Trapped charge densities in Al2O3-based silicon surface passivation layers
126Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
127Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
128Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
129Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
130Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
131Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
132Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
133Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
134Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
135Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
136AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
137Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
138Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
139Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
140Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
141Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
142Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
143Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
144Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
145Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
146Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
147High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
148Hafnia and alumina on sulphur passivated germanium
149AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
150Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
151RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
152Single-Cell Photonic Nanocavity Probes
153Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
154Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
155Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
156High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
157Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
158Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
159Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
160High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
161Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
162Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
163Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
164Plasma enhanced atomic layer deposition of Ga2O3 thin films
165Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
166Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
167Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
168An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
169AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
170Gate Insulator for High Mobility Oxide TFT
171Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
172Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
173Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
174P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
175Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
176Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
177Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
178Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
179Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
180AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
181Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
182Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
183Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
184Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
185Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
186Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
187The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
188Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
189Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
190Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
191Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
192Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
193Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
194Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
195Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
196Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
197Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
198Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
199Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
200Nitride passivation of the interface between high-k dielectrics and SiGe
201Atomic layer deposition of metal-oxide thin films on cellulose fibers
202Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
203Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
204Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
205Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
206Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
207Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
208Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
209In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
210Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
211Breakdown and Protection of ALD Moisture Barrier Thin Films
212Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
213Fiber-matrix interface reinforcement using Atomic Layer Deposition
214Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
215Low temperature temporal and spatial atomic layer deposition of TiO2 films
216Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
217Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
218Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
219Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
220Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
221Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
222Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
223Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
224Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
225Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
226Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
227P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
228P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
229Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
230Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
231Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
232On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
233Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
234Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
235Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
236Single-Cell Photonic Nanocavity Probes
237Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
238Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
239Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
240Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
241Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
242Breakdown and Protection of ALD Moisture Barrier Thin Films
243Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
244Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
245The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
246Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
247Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
248Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
249Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
250Enhanced electrical and reliability characteristics in HfON gated Ge p-MOSFETs with H2 and NH3 plasma treated interfacial layers
251Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
252Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
253Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
254Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
255Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
256Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
257Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
258Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
259RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
260Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
261Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
262Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
263Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
264Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
265Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
266RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
267Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
268Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
269Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
270Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
271Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
272Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
273Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
274Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
275Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
276Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
277Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
278Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
279Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
280Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
281Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
282Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
283Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
284Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
285P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
286Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
287Encapsulation method for atom probe tomography analysis of nanoparticles
288Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
289Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
290Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
291Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
292Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
293Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
294Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
295Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
296Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
297Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
298A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
299Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
300In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
301Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
302Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
303Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
304Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
305Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
306Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
307Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
308Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
309Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
310Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
311Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
312In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
313On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
314Three dimensional ALD of TiO2 for in-vivo biomedical sensor applications
315Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
316Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
317A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
318Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
319The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
320Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
321Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
322Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
323A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
324Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
325Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
326Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
327Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
328Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
329In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
330Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
331Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
332In-gap states in titanium dioxide and oxynitride atomic layer deposited films
333Passivation effects of atomic-layer-deposited aluminum oxide
334Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
335Protective capping and surface passivation of III-V nanowires by atomic layer deposition
336Room-temperature atomic layer deposition of ZrO2 using tetrakis(ethylmethylamino)zirconium and plasma-excited humidified argon
337Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
338Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
339MANOS performance dependence on ALD Al2O3 oxidation source
340Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
341Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
342Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries