1 | Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition |
2 | Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells |
3 | Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer |
4 | Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition |
5 | Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents |
6 | Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors |
7 | Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures |
8 | Nanocrystallite Seeding of Metastable Ferroelectric Phase Formation in Atomic Layer-Deposited Hafnia-Zirconia Alloys |
9 | Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition |
10 | Flexible Memristive Memory Array on Plastic Substrates |
11 | Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity |
12 | Atmospheric pressure plasma enhanced spatial ALD of silver |
13 | Direct observation of microscopic change induced by oxygen vacancy drift in amorphous TiO2 thin films |
14 | Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene |
15 | Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms |
16 | Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition |
17 | Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process |
18 | Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting |
19 | Ag films grown by remote plasma enhanced atomic layer deposition on different substrates |
20 | Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3 |
21 | Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer |
22 | Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells |
23 | Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition |
24 | Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density |
25 | Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks |
26 | Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate |
27 | Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries |
28 | Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys |
29 | High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds |
30 | Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application |
31 | Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene |
32 | Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode |
33 | Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries |
34 | Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires |
35 | Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition |
36 | Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops |
37 | Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties |
38 | Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon |
39 | Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires |
40 | Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells |
41 | Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition |
42 | Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique |
43 | Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries |
44 | On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes |
45 | Plasma enhanced atomic layer deposition of zinc sulfide thin films |
46 | Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma |
47 | Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer |
48 | Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition |
49 | Sub-7-nm textured ZrO2 with giant ferroelectricity |
50 | Formation of Ru nanocrystals by plasma enhanced atomic layer deposition for nonvolatile memory applications |
51 | Atomic layer deposition of metal-oxide thin films on cellulose fibers |
52 | Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures |
53 | In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition |
54 | A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors |
55 | Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect |
56 | Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition |
57 | IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer |
58 | Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features |
59 | Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating |
60 | Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition |
61 | Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma |
62 | Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate |
63 | Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma |
64 | Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study |
65 | Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme |
66 | Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition |
67 | Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films |
68 | MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion |
69 | Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD |
70 | Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon |
71 | Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control |
72 | Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN |
73 | Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition |
74 | Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition |
75 | Atomic layer deposition of YMnO3 thin films |
76 | Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene |
77 | Nitride memristors |
78 | Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma |
79 | Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer |
80 | Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition |
81 | Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride |
82 | Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition |
83 | Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition |
84 | Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films |
85 | Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films |
86 | High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates |
87 | Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers |
88 | Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors |
89 | Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition |
90 | Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition |
91 | Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils |
92 | Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition |
93 | Using top graphene layer as sacrificial protection during dielectric atomic layer deposition |
94 | Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells |
95 | Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection |
96 | Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications |
97 | Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process |
98 | Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction |
99 | Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates |
100 | Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition |
101 | Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries |
102 | TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition |
103 | Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films |
104 | Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications |
105 | Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources |
106 | Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers |
107 | Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation |
108 | Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition |
109 | Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films |
110 | Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide |
111 | Atomic Layer Deposition of Gold Metal |
112 | Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition |
113 | n-GaP/p-Si Heterojunction Solar Cells Fabricated by PE-ALD |
114 | Encapsulation method for atom probe tomography analysis of nanoparticles |
115 | Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources |
116 | Highly reflective polymeric substrates functionalized utilizing atomic layer deposition |
117 | Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition |
118 | ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs |
119 | Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition |
120 | Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films |
121 | Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD |
122 | Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology |
123 | Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition |
124 | Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte |
125 | Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes |
126 | Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition |
127 | Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing |
128 | Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3 |
129 | Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting |
130 | Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM |
131 | Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure |
132 | Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights |
133 | Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition |
134 | Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions |
135 | Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane |
136 | A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost |
137 | Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications |
138 | Modal properties of a strip-loaded horizontal slot waveguide |
139 | Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices |
140 | Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films |
141 | Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition |
142 | NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors |
143 | Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment |
144 | Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition |
145 | On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR |
146 | Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells |
147 | Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition |
148 | Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes |
149 | Atomic Layer Deposition of Nanolayered Carbon Films |
150 | Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries |
151 | Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory |
152 | Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources |
153 | Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor |
154 | Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures |
155 | Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications |
156 | Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition |
157 | Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices |
158 | Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si |
159 | Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials |
160 | Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices |
161 | Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization |
162 | Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories |
163 | High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness |
164 | Protective capping and surface passivation of III-V nanowires by atomic layer deposition |
165 | Ultrathin Surface Coating Enables the Stable Sodium Metal Anode |
166 | Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT) |
167 | Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2 |
168 | Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C |
169 | Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co |
170 | Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate |
171 | Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density |
172 | Atomic layer deposition of high-mobility hydrogen-doped zinc oxide |
173 | Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell |
174 | Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition |
175 | Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition |
176 | Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor |
177 | Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars |
178 | Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition |
179 | Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources |
180 | TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD |
181 | In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells |
182 | Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications |
183 | Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure |
184 | Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition |
185 | Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer |
186 | Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors |
187 | Plasma enhanced atomic layer deposition of gallium sulfide thin films |
188 | Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source |
189 | Thin film GaP for solar cell application |
190 | Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System |
191 | Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films |
192 | Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor |
193 | Self-limiting diamond growth from alternating CFx and H fluxes |
194 | Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs |
195 | Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting |
196 | Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier |
197 | Improved understanding of recombination at the Si/Al2O3 interface |
198 | Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing |
199 | Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing |
200 | Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition |
201 | Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor |
202 | High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications |
203 | Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films |
204 | Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl |
205 | Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth |
206 | Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing |
207 | Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures |
208 | Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes |
209 | Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma |
210 | Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP) |
211 | Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD) |
212 | A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects |
213 | Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition |
214 | GeSbTe deposition for the PRAM application |
215 | WS2 transistors on 300 mm wafers with BEOL compatibility |
216 | A route to low temperature growth of single crystal GaN on sapphire |
217 | Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid |
218 | A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu |
219 | Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5 |
220 | Oxygen migration in TiO2-based higher-k gate stacks |
221 | Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor |
222 | Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches |
223 | Bipolar resistive switching in amorphous titanium oxide thin film |
224 | Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions |
225 | Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma |
226 | Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films |
227 | Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer |
228 | Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions |
229 | Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors |
230 | Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting |
231 | Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure |
232 | Atomic Layer Deposition of the Solid Electrolyte LiPON |
233 | A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application |
234 | In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides |
235 | Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash |
236 | Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures |
237 | Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry |
238 | Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design |
239 | Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition |
240 | Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition |