Images Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Images returned 249 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
2Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
3Encapsulation method for atom probe tomography analysis of nanoparticles
4Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
5Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
6Atomic layer deposition of metal-oxide thin films on cellulose fibers
7Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
8Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
9Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
10Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
11Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
12Atomic Layer Deposition of Gold Metal
13Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
14Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
15Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
16Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
17Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
18Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
19Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
20Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
21Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
22Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
23Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
24Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
25Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
26Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
27Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
28Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
29A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
30Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
31Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
32Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
33Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
34Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
35Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
36Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
37Flexible Memristive Memory Array on Plastic Substrates
38Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
39Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
40Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
41Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
42Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
43Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
44Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
45Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
46Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
47ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
48Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
49Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
50Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
51Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
52Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
53Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
54In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
55Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
56A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
57Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
58Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
59Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
60In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
61Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
62Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
63Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
64Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
65High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
66Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
67Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
68Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
69Atmospheric pressure plasma enhanced spatial ALD of silver
70Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
71Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
72Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
73Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
74Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
75Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
76Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
77Plasma enhanced atomic layer deposition of zinc sulfide thin films
78A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
79Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
80Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
81Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
82Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
83Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
84Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
85In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
86Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
87Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
88Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
89Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
90Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
91Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
92Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
93Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
94IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
95Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
96Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
97Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
98Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
99Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
100Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
101Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
102Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
103Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
104Atomic Layer Deposition of Nanolayered Carbon Films
105Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
106Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
107Atomic layer deposition of YMnO3 thin films
108MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
109Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
110Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
111Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
112Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
113Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
114Nitride memristors
115Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride
116Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
117Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
118Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
119Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
120Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
121Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
122Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
123Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
124Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
125Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
126Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
127Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
128Improved understanding of recombination at the Si/Al2O3 interface
129Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
130Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
131Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
132Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
133Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
134On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
135Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
136Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
137Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
138Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
139High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
140Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
141Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
142Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
143Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
144Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
145Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
146Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
147Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
148NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
149Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
150Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
151Atomic Layer Deposition of the Solid Electrolyte LiPON
152Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
153TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
154Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
155Bipolar resistive switching in amorphous titanium oxide thin film
156High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
157WS2 transistors on 300 mm wafers with BEOL compatibility
158Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
159Oxygen migration in TiO2-based higher-k gate stacks
160Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
161Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
162Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
163Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
164Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
165Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
166Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
167Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
168Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
169GeSbTe deposition for the PRAM application
170Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
171Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure
172Protective capping and surface passivation of III-V nanowires by atomic layer deposition
173Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
174Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
175Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
176Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
177Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
178Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
179Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
180n-GaP/p-Si Heterojunction Solar Cells Fabricated by PE-ALD
181Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
182Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
183Formation of Ru nanocrystals by plasma enhanced atomic layer deposition for nonvolatile memory applications
184Sub-7-nm textured ZrO2 with giant ferroelectricity
185Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
186Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
187A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
188Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
189A route to low temperature growth of single crystal GaN on sapphire
190On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
191A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
192Plasma enhanced atomic layer deposition of gallium sulfide thin films
193Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
194TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
195Thin film GaP for solar cell application
196Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
197Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
198Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
199Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
200Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
201Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design
202Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
203Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
204Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
205Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
206Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
207Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
208Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
209Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
210Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
211Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
212Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
213Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
214Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
215Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
216Direct observation of microscopic change induced by oxygen vacancy drift in amorphous TiO2 thin films
217Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
218Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
219Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
220Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
221Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
222Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
223Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
224Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
225Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
226Self-limiting diamond growth from alternating CFx and H fluxes
227Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
228Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
229Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
230Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
231Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
232Modal properties of a strip-loaded horizontal slot waveguide
233Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
234Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
235Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
236Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
237Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
238Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
239Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD