Density Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Density returned 189 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
2A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
3Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
4Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
5Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
6Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
7ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
8Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
9ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
10Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
11Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
12Plasma enhanced atomic layer deposition of SiNx:H and SiO2
13Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
14Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
15Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
16Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
17Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
18Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
19Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
20Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
21GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
22Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films
23Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
24Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
25Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
26Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
27Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
28Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
29Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
30Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
31TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
32Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
33Hydrogen plasma-enhanced atomic layer deposition of copper thin films
34Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
35Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
36Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
37Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
38Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
39Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
40Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
41Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
42Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
43Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
44Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
45Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
46Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
47Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
48Breakdown and Protection of ALD Moisture Barrier Thin Films
49Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
50Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
51Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
52Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
53Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
54Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
55Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
56Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
57Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
58Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
59Energy transformation of plasmonic photocatalytic oxidation on 1D quantum well of platinum thin film
60In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
61Plasma-Assisted Atomic Layer Deposition of Palladium
62Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
63Plasma-enhanced atomic layer deposition of superconducting niobium nitride
64Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
65Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
66PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
67Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
68High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
69Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
70Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
71Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
72Densification of Thin Aluminum Oxide Films by Thermal Treatments
73Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
74Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
75Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
76Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
77Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
78Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
79Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
80Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
81Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
82Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
83Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
84Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
85Evaluation of Stress Induced by Plasma Assisted ALD SiN Film
86Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
87Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
88Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
89Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
90Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
91Plasma-enhanced atomic layer deposition of BaTiO3
92A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
93Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
94Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
95Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
96Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
97Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
98Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
99Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
100Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
101Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
102Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
103Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
104Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
105Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
106Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
107Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
108Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
109Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
110The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer
111Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
112Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
113Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
114Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
115Properties of AlN grown by plasma enhanced atomic layer deposition
116A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
117Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
118Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
119Plasma-enhanced atomic layer deposition of titanium vanadium nitride
120Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
121Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
122Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
123Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
124Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
125Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
126Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
127Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
128Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
129Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
130Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
131Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
132Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
133Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
134Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
135Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
136Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
137Remote Plasma ALD of Platinum and Platinum Oxide Films
138Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
139Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
140Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
141Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
142High-Reflective Coatings For Ground and Space Based Applications
143Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
144Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
145High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
146Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
147Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
148Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
149Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
150Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
151Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
152Atomic layer deposition of InN using trimethylindium and ammonia plasma
153Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
154Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
155Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
156High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
157Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
158Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
159Evaluation of plasma parameters on PEALD deposited TaCN
160PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
161Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
162Structural and optical characterization of low-temperature ALD crystalline AlN
163Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
164Sub-nanometer heating depth of atomic layer annealing
165Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
166Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
167Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
168Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
169Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
170Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
171Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
172Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
173Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
174A route to low temperature growth of single crystal GaN on sapphire
175Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
176Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
177Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
178Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
179Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
180Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
181Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
182Room-Temperature Atomic Layer Deposition of Platinum