TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3, CAS# 75-24-1

Informational Websites

NumberWebsite
1https://en.wikipedia.org/wiki/Trimethylaluminium

Where to buy

NumberVendorRegionLink
1Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98%, contained in high-temp cylinder
2Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98% (Material sold in non-returnable cylinder)
3American Elements🇺🇸Trimethylaluminum
4Pegasus Chemicals🇬🇧Trimethylaluminium
5Sigma-Aldrich, Co. LLC🇺🇸Trimethylaluminum packaged for use in deposition systems
6DOCK/CHEMICALS🇩🇪Trimethylaluminum
7Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al) PURATREM
8EpiValence🇬🇧Aluminium trimethyl
9Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98%, contained in 50 ml cylinder for CVD/ALD
10Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al), contained in 50 ml electropolished cylinder for CVD/ALD
11Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al), contained in 50 ml cylinder for CVD/ALD
12Sigma-Aldrich, Co. LLC🇺🇸Trimethylaluminum 97%

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 604 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
2Innovative remote plasma source for atomic layer deposition for GaN devices
3A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
4A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
5Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
6Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
7Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
8Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
9Improved understanding of recombination at the Si/Al2O3 interface
10Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
11Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
12AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
13On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
14Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
15Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
16Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
17Nitride passivation of the interface between high-k dielectrics and SiGe
18GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
19Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
20Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
21Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
22Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
23Atomic layer epitaxy for quantum well nitride-based devices
24Properties of AlN grown by plasma enhanced atomic layer deposition
25Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
26Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
27Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
28Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
29Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
30Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
31High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
32Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
33The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
34Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
35Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
36Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
37Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
38Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
39Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
40Oxide TFT LC Oscillators on Glass and Plastic for Wireless Functions in Large-Area Flexible Electronic Systems
411D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
42Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
43Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
44Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
45Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
46Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
47GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
48Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
49Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
50Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
51MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
52AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
53Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
54Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
55Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
56Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
57Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
58Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
59Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
60Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
61Experimental verification of electro-refractive phase modulation in graphene
62Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
63Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
64Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
65Compatibility of AlN/SiNx Passivation With LPCVD-SiNx Gate Dielectric in GaN-Based MIS-HEMT
66Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
67Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
68Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
69Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
70Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
71Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
72TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
73High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
74Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
75Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
76Very high frequency plasma reactant for atomic layer deposition
77Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
78Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
79Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
80Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
81Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
82Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
83Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
84Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
85Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
86XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
87Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
88Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
89Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
90New materials for memristive switching
91Fabrication and Characterization of Flexible Thin Film Transistors on Thin Solution-Cast Substrates
92High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
93Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
94An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
95Protective capping and surface passivation of III-V nanowires by atomic layer deposition
96A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
97Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
98Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
99Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
100Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
101Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
102Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
103Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
104Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
105Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
106Structural and optical characterization of low-temperature ALD crystalline AlN
107Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
108Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
109Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
110Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
111In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
112Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
113Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
114Composite materials and nanoporous thin layers made by atomic layer deposition
115Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
116Optical display film as flexible and light trapping substrate for organic photovoltaics
117Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
118Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
119On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
120Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
121Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
122Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
123Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
124Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
125Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
126Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
127Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
128Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
129Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
130Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
131Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
132Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
133Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
134Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
135Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
136Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs With PEALD-Grown AlN Gate Dielectric
137Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
138Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
139Sub-nanometer heating depth of atomic layer annealing
140Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
141Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
142Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
143Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
144Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
145Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
146Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
147Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
148DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
149Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
150Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
151Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
152Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
153Gate Insulator for High Mobility Oxide TFT
154Optical in situ monitoring of plasma-enhanced atomic layer deposition process
155Compatibility of AlN/SiNx Passivation Technique with High-Temperature Process
156Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
157Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
158Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
159Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
160Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
161Tuning size and coverage of Pd nanoparticles using atomic layer deposition
162Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
163Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
164Trapped charge densities in Al2O3-based silicon surface passivation layers
165Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
166Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
167Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
168Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
169Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
170Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
171Mechanical properties of thin-film Parylene-metal-Parylene devices
172Dynamic tuning of plasmon resonance in the visible using graphene
173Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
174Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
175Method of Fabrication for Encapsulated Polarizing Resonant Gratings
176Advances in the fabrication of graphene transistors on flexible substrates
177Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
178Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
179Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
180Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
181Protective capping and surface passivation of III-V nanowires by atomic layer deposition
182Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
183AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
184Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
185Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
186Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
187Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
188Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
18946-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
190Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
191Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
192Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
193Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
194Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
195High-efficiency embedded transmission grating
196Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
197Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
198Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
199Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
200Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
201Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
202Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
203Atomic layer deposition of metal-oxide thin films on cellulose fibers
204Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
205The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
206Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
207Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
208Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
209Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
210Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
211Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
212Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
213AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
214Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
215N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
216Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
217Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
218A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
219Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
220A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
221Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
222Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
223Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
224DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
225Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
226Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
227Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
228Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
229Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
230Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
231Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
232Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
233Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
234Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
235Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
236Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
237Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
238Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
239Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
240Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
241Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
242Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
243PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
244Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
245Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
246Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
247Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
248Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
249Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
250In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
251Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
252Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
253Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
254Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
255Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
256Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
257Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
258Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
259Band alignment of Al2O3 with (-201) β-Ga2O3
260Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
261Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
262The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
263Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
264The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
265Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
266Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
267Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
268Atomic layer epitaxy for quantum well nitride-based devices
269Fast Flexible Plastic Substrate ZnO Circuits
270Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
271Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
272Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
273Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
274Nitride memristors
275The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
276The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
277Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
278Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
279Comparison of ammonia plasma and AlN passivation by plasma-enhanced atomic layer deposition
280Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
281Tribological properties of thin films made by atomic layer deposition sliding against silicon
282Fiber-matrix interface reinforcement using Atomic Layer Deposition
283Charge effects of ultrafine FET with nanodot type floating gate
284Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
285Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
286Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
287Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
288Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
289Anti-stiction coating for mechanically tunable photonic crystal devices
290ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
291Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
292Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
293Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
294Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
295Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
296AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
297Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
298Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
299Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
300Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
301Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
302Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
303Breakdown and Protection of ALD Moisture Barrier Thin Films
304Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
305Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
306Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
307Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
308Crystalline growth of AlN thin films by atomic layer deposition
309Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
310Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
311Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
312Fast PEALD ZnO Thin-Film Transistor Circuits
313Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
314Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
315Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
316Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
317Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
318AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
319Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
320Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
321Propagation Effects in Carbon Nanoelectronics
322Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
323Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
324Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
325Symmetrical Al2O3-based passivation layers for p- and n-type silicon
326Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
327Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
328Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
329Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
330Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
331Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
332Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
333Radical Enhanced Atomic Layer Deposition of Metals and Oxides
334Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
335Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
336Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
337Optical and Electrical Properties of AlxTi1-xO Films
338Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
339Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
340Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
341Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
342Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
343Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
344Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
345Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
346Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
347Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
348Capacitance spectroscopy of gate-defined electronic lattices
349Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
350Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
351AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
352Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
353Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
354Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
355Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
356Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
357Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
358Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
359Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
360Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
361Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
362'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
363A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
364Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
365Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
366Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
367Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
368Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
369Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
370Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
371A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
372Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
373Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
374Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
375Impact of interface materials on side permeation in indirect encapsulation of organic electronics
376MANOS performance dependence on ALD Al2O3 oxidation source
377Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
378Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
379Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
380Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
381Breakdown and Protection of ALD Moisture Barrier Thin Films
382Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
383Perspectives on future directions in III-N semiconductor research
384Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
385Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
386Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
387Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
388Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
389Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
390Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
391Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
392Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
393On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
394High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
395On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
396Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
397Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
398Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
399Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
400Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
401Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
402Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
403Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
404Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
405Study on the characteristics of aluminum thin films prepared by atomic layer deposition
406Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
407PEALD AlN: controlling growth and film crystallinity
408Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
409Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
410Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
411Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
412Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
413Silicon surface passivation with atomic layer deposited aluminum nitride
414Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
415Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
416Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
417Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
418Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
419Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
420The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
421Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
422Energy-enhanced atomic layer deposition for more process and precursor versatility
423Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
424Patterned deposition by plasma enhanced spatial atomic layer deposition
425Single-Cell Photonic Nanocavity Probes
426Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
427Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
428A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
429Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
430Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
431Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
432Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
433Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
434Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
435Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
436Residual stress study of thin films deposited by atomic layer deposition
437Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
438Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
439Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
440Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
441Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
442High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
443Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
444Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
445Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
446Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
447Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
448Passivation effects of atomic-layer-deposited aluminum oxide
449A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
450Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
451Damage evaluation in graphene underlying atomic layer deposition dielectrics
452Low-Power Double-Gate ZnO TFT Active Rectifier
453Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
454Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
455Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
456High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
457Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
458Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
459Hafnia and alumina on sulphur passivated germanium
460Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
461Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
462First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
463AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
464Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
465Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
466RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
467Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
468Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
469Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
470Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
471Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
472Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
473Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
474Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
475Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
476Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
477Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
478Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
479Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
480Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
481Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
482AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
483Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
484Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
485Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
486ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
487Surface passivation of GaAs nanowires by the atomic layer deposition of AlN
488Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
489Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
490Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
491Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
492Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
493Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
494Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
495Optimization of the Surface Structure on Black Silicon for Surface Passivation
496Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
497Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
498Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
499AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
500Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
501Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
502Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
503Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
504Radical Enhanced Atomic Layer Deposition of Metals and Oxides
505Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
506Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
507Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
508Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
509Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
510Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
511Lithium-Iron (III) Fluoride Battery with Double Surface Protection
512Plasma enhanced atomic layer deposition of aluminum sulfide thin films
513Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
514Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
515Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
516Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
517Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
518Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
519Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
520Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
521Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
522Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
523Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
524Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
525Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
526Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
527Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
528Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
529Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
530Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
531Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
532Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
533Encapsulation method for atom probe tomography analysis of nanoparticles
534Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
535Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
536Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
537TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
538Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
539Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
540Tribological properties of thin films made by atomic layer deposition sliding against silicon
541Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
542Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
543Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma
544Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
545Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
546High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
547In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
548In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
549Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
550In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
551Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
552Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
553AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
554Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
555Densification of Thin Aluminum Oxide Films by Thermal Treatments
556Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
557Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
558Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
559Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
560Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
561A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
562Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
563Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
564Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
565Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
566On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
567Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
568On the equilibrium concentration of boron-oxygen defects in crystalline silicon
569Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
570Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
571Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
572823-mA/mm Drain Current Density and 945-MW/cm2 Baliga's Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric
573Engineered Tunneling Contacts with Low-Temperature Atomic Layer Deposition of AlN on GaN
574Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
575Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
576A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
577High-Reflective Coatings For Ground and Space Based Applications
578Flexible, light trapping substrates for organic photovoltaics
579Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
580Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
581Perspectives on future directions in III-N semiconductor research
582Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
583Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
584Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
585Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators