TMA, Trimethylaluminum, Trimethylalumane, AlMe3, Al(CH3)3, CAS# 75-24-1

Informational Websites

NumberWebsite
1https://en.wikipedia.org/wiki/Trimethylaluminium

Where to buy

NumberVendorRegionLink
1American Elements🇺🇸Trimethylaluminum
2Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al), contained in 50 ml cylinder for CVD/ALD
3Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98% (Material sold in non-returnable cylinder)
4Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98%, contained in high-temp cylinder
5EpiValence🇬🇧Aluminium trimethyl
6Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al) PURATREM
7Strem Chemicals, Inc.🇺🇸Trimethylaluminum, min. 98%, contained in 50 ml cylinder for CVD/ALD
8Sigma-Aldrich, Co. LLC🇺🇸Trimethylaluminum packaged for use in deposition systems
9Sigma-Aldrich, Co. LLC🇺🇸Trimethylaluminum 97%
10Strem Chemicals, Inc.🇺🇸Trimethylaluminum, elec. gr. (99.999+%-Al), contained in 50 ml electropolished cylinder for CVD/ALD
11Pegasus Chemicals🇬🇧Trimethylaluminium
12DOCK/CHEMICALS🇩🇪Trimethylaluminum

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 604 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
2Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
3High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
4Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
5Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
6Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
7Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
8Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
9Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
10Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
11Single-Cell Photonic Nanocavity Probes
12Structural and optical characterization of low-temperature ALD crystalline AlN
13Damage evaluation in graphene underlying atomic layer deposition dielectrics
14Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
15Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
16Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
17Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
18Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
19Optical and Electrical Properties of AlxTi1-xO Films
20Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
21Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
22Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
23A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
24Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
25Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
26Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
27Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
28Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
29Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
30Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
31Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
32Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
33Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
34Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
35Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
36Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
37Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
38Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
39High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
40Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
41Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
42Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
43Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
44Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
45High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
46Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
47Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
48Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
49Improved understanding of recombination at the Si/Al2O3 interface
50Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
51AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
52Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
53Atomic layer deposition of metal-oxide thin films on cellulose fibers
54'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
55Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
56Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
57XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
58Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
59Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
60Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
61Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
62Nitride memristors
63Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
64Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
65Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
66Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
67Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
68Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
69Surface passivation of GaAs nanowires by the atomic layer deposition of AlN
70Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
71Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
72Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
73Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
74Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
75Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
76Radical Enhanced Atomic Layer Deposition of Metals and Oxides
77Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
78Innovative remote plasma source for atomic layer deposition for GaN devices
79Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
80Perspectives on future directions in III-N semiconductor research
81Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
82Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
83Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
84Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
85Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
86Passivation effects of atomic-layer-deposited aluminum oxide
87Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
88Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
89Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
90Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
91Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
92Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
93Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
94Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
95Silicon surface passivation with atomic layer deposited aluminum nitride
96Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
97Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
98Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
99Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
100Mechanical properties of thin-film Parylene-metal-Parylene devices
101The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
102Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
103Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
104Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
105Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
106A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
107Residual stress study of thin films deposited by atomic layer deposition
108Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
109Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
110Reaction Mechanisms during Atomic Layer Deposition of AlF3 Using Al(CH3)3 and SF6 Plasma
111Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
112Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
113Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
114Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
115Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
116Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
117Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
118Protective capping and surface passivation of III-V nanowires by atomic layer deposition
119Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
120Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
121Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
122Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
123Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
124Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
125Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
126Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
127Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
128Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
129Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
130Trapped charge densities in Al2O3-based silicon surface passivation layers
131Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
132Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
133Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
134Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
135Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
1361D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
137Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
138Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
139Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
140Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
141Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
142Tuning size and coverage of Pd nanoparticles using atomic layer deposition
143Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
144823-mA/mm Drain Current Density and 945-MW/cm2 Baliga's Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric
145Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
146Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
147Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
148Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
149Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
150Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
151Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
152Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
153Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
154Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
155Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
156Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
157GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
158Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
159Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
160Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
161Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
162A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
163Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
164The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
165Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
166Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
167Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
168Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
169Sub-nanometer heating depth of atomic layer annealing
170Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
171AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
172Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
173Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
174Fast Flexible Plastic Substrate ZnO Circuits
175Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
176AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
177Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
178Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
179Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs With PEALD-Grown AlN Gate Dielectric
180Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
181Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
182Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
183High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
184Composite materials and nanoporous thin layers made by atomic layer deposition
185Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
186Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
187Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
188Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
189Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
190Gate Insulator for High Mobility Oxide TFT
191Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
192In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
193Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
194Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
195Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
196Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
197Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
198Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
199AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
200Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
201Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
202Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
203Radical Enhanced Atomic Layer Deposition of Metals and Oxides
204Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
205Comparison of ammonia plasma and AlN passivation by plasma-enhanced atomic layer deposition
206Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
207Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
208A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
209Oxide TFT LC Oscillators on Glass and Plastic for Wireless Functions in Large-Area Flexible Electronic Systems
210Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
211Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
212Hafnia and alumina on sulphur passivated germanium
213AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
214High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
215Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
216Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
217Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
218Patterned deposition by plasma enhanced spatial atomic layer deposition
219Band alignment of Al2O3 with (-201) β-Ga2O3
220Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
221AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
222AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
223Perspectives on future directions in III-N semiconductor research
224Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
225Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
226Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
227Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
228Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
229Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
230Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
231Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
232Impact of interface materials on side permeation in indirect encapsulation of organic electronics
233Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
234Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
235Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
236Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
237Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
238Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
239Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
240Atomic layer epitaxy for quantum well nitride-based devices
241Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
242Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
243Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
244DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
245Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
246Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
247Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
248Anti-stiction coating for mechanically tunable photonic crystal devices
249Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
250Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
251Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
252Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
253Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
254Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
255Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
256Flexible, light trapping substrates for organic photovoltaics
257Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
258Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
259Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
260Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
261Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
262On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
263Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
264Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
265Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
266Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
267Optical in situ monitoring of plasma-enhanced atomic layer deposition process
268Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
269Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
270Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
271Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
272Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
273Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
274AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
275In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
276Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
277In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
278Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
279Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
280Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
281Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
282Lithium-Iron (III) Fluoride Battery with Double Surface Protection
283Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
284The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
285Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
286Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
287Dynamic tuning of plasmon resonance in the visible using graphene
288AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
289Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
290Breakdown and Protection of ALD Moisture Barrier Thin Films
291Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
292Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
293Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
294ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
295Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
296Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
297Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
298Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
299Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
300Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
301Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
302Compatibility of AlN/SiNx Passivation With LPCVD-SiNx Gate Dielectric in GaN-Based MIS-HEMT
303Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
304Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
305Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
306Densification of Thin Aluminum Oxide Films by Thermal Treatments
307High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
308Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
309Optimization of the Surface Structure on Black Silicon for Surface Passivation
310Tribological properties of thin films made by atomic layer deposition sliding against silicon
311AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
312Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
313Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
314Plasma enhanced atomic layer deposition of aluminum sulfide thin films
315Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
316Atomic layer epitaxy for quantum well nitride-based devices
317Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
318Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
319Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
320Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
321Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
322AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
323Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
324Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
325Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
326Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
327Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
328Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
329Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
330Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
331Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
332Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
333Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
334Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
335Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
336Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
337Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
338Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
339Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
340Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
341The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
342Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
343Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
344ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
345A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
346Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
347Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
348Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
349Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
350PEALD AlN: controlling growth and film crystallinity
351Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
352Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
35346-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
354Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
355Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
356Method of Fabrication for Encapsulated Polarizing Resonant Gratings
357Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
358Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
359Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
360DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
361Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
362Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
363Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
364Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
365Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
366Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
367Study on the characteristics of aluminum thin films prepared by atomic layer deposition
368N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
369Breakdown and Protection of ALD Moisture Barrier Thin Films
370Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
371Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
372Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
373Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
374Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
375Energy-enhanced atomic layer deposition for more process and precursor versatility
376Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
377Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
378Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
379Fabrication and Characterization of Flexible Thin Film Transistors on Thin Solution-Cast Substrates
380Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
381Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
382Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
383Crystalline growth of AlN thin films by atomic layer deposition
384Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
385Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
386Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
387Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
388Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
389Protective capping and surface passivation of III-V nanowires by atomic layer deposition
390MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
391Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
392A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
393Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
394A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
395Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
396Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
397Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
398Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
399Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
400Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
401Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
402An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
403Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
404Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
405Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
406Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
407First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
408Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
409Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
410Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
411Charge effects of ultrafine FET with nanodot type floating gate
412Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
413Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
414Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
415Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
416Properties of AlN grown by plasma enhanced atomic layer deposition
417Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
418Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
419Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
420Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
421Fiber-matrix interface reinforcement using Atomic Layer Deposition
422Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
423Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
424On the equilibrium concentration of boron-oxygen defects in crystalline silicon
425Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
426Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
427Optical display film as flexible and light trapping substrate for organic photovoltaics
428Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
429Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
430Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
431Propagation Effects in Carbon Nanoelectronics
432The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
433Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
434High-efficiency embedded transmission grating
435Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
436Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
437Advances in the fabrication of graphene transistors on flexible substrates
438RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
439Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
440Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
441PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
442Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
443Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
444Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
445Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
446Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
447Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
448New materials for memristive switching
449Symmetrical Al2O3-based passivation layers for p- and n-type silicon
450Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
451PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
452Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
453A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
454Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
455Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
456Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
457Encapsulation method for atom probe tomography analysis of nanoparticles
458Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
459Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
460Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
461Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
462Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
463Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
464Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
465Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
466Experimental verification of electro-refractive phase modulation in graphene
467Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
468Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
469Low-Power Double-Gate ZnO TFT Active Rectifier
470On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
471Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
472Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
473Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
474Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
475A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
476Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
477Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
478Compatibility of AlN/SiNx Passivation Technique with High-Temperature Process
479Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
480Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
481Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
482Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
483Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
484Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
485Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
486MANOS performance dependence on ALD Al2O3 oxidation source
487Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
488A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
489Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
490Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
491Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
492Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
493Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
494Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
495Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
496Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
497Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
498Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
499Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
500Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
501Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
502Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
503A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
504Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
505TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
506The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
507Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
508Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
509Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
510Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
511Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
512TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
513GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
514High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
515Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
516Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
517Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
518Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
519Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
520Very high frequency plasma reactant for atomic layer deposition
521Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
522Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
523Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
524Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
525Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
526Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
527Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
528Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
529Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
530High-Reflective Coatings For Ground and Space Based Applications
531Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
532On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
533Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
534Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
535Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
536Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
537Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
538Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
539Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
540In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
541Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
542Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
543Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
544Capacitance spectroscopy of gate-defined electronic lattices
545Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
546Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
547Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
548Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
549Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
550Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
551In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
552The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
553Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
554Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
555Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
556Fast PEALD ZnO Thin-Film Transistor Circuits
557Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
558Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
559Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
560Engineered Tunneling Contacts with Low-Temperature Atomic Layer Deposition of AlN on GaN
561Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
562Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
563Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
564Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
565Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
566Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
567Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
568On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
569Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
570Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
571Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
572Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
573Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
574Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
575Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
576Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
577Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
578Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
579Nitride passivation of the interface between high-k dielectrics and SiGe
580On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
581Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
582Tribological properties of thin films made by atomic layer deposition sliding against silicon
583Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
584Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
585Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3