Conformality, Step Coverage Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Conformality, Step Coverage returned 129 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
2Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
3Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
4Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
5Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
6Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
7Plasma enhanced atomic layer deposition of zinc sulfide thin films
8Plasma enhanced atomic layer deposition of aluminum sulfide thin films
9Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
10Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
11Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
12Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
13Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
14Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
15Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
16Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
17Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
18Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
19The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
20Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
21Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
22Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
23Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
24Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
25Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
26Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
27High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
28Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
29Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
30Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
31Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
32ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
33Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
34Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
35Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
36Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
37Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
38Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
39Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
40Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
41Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
42Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
43Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
44Study on the characteristics of aluminum thin films prepared by atomic layer deposition
45Hydrogen plasma-enhanced atomic layer deposition of copper thin films
46Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
47Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
48Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
49Copper-ALD Seed Layer as an Enabler for Device Scaling
50Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
51Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
52Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
53Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
54Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
55Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
56Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
57Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
58Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
59Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
60Plasma enhanced atomic layer deposition of SiNx:H and SiO2
61Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
62High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
63A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
64An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
65Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
66Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
67Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
68Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
69Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
70Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
71Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
72Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
73Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
74ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
75Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
76Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
77Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
78Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
79Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
80In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
81Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
82Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
83Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
84Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
85Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
86Topographically selective deposition
87Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
88Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
89Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
90Plasma enhanced atomic layer deposition of Ga2O3 thin films
91Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
92Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
93Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
94Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
95Atomic Layer Deposition of the Conductive Delafossite PtCoO2
96Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
97Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
98Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
99A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
100Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
101Very high frequency plasma reactant for atomic layer deposition
102Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
103Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
104Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
105Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
106Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
107Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
108Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
109Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
110Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
111Plasma enhanced atomic layer deposition of gallium sulfide thin films
112Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
113Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
114Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
115Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
116Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
117Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
118Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
119Plasma Enhanced Atomic Layer Deposition on Powders
120Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
121Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
122Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
123NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
124Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
125Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
126Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
127Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
128Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
129Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation