Conformality, Step Coverage Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Conformality, Step Coverage returned 129 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
2Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
3Study on the characteristics of aluminum thin films prepared by atomic layer deposition
4Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
5Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
6Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
7Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
8Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
9Copper-ALD Seed Layer as an Enabler for Device Scaling
10Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
11Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
12Plasma enhanced atomic layer deposition of zinc sulfide thin films
13Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
14Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
15Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
16Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
17Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
18Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
19Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
20Very high frequency plasma reactant for atomic layer deposition
21An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
22Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
23Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
24Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
25Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
26Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
27Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
28Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
29Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
30Hydrogen plasma-enhanced atomic layer deposition of copper thin films
31Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
32Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
33Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
34Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
35Topographically selective deposition
36Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
37Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
38Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
39High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
40Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
41Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
42Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
43Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
44Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
45Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
46Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
47A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
48Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
49Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
50Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
51Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
52Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
53Atomic Layer Deposition of the Conductive Delafossite PtCoO2
54Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
55Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
56Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
57Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
58Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
59Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
60Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
61Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
62Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
63Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
64Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
65Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
66ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
67Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
68Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
69Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
70In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
71Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
72Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
73Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
74Plasma Enhanced Atomic Layer Deposition on Powders
75Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
76Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
77Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
78Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
79Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
80Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
81NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
82Plasma enhanced atomic layer deposition of SiNx:H and SiO2
83Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
84Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
85Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
86Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
87Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
88Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
89Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
90The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
91Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
92Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
93Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
94Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
95Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
96Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
97Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
98Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
99Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
100Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
101Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
102Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
103Plasma enhanced atomic layer deposition of aluminum sulfide thin films
104Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
105Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
106Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
107Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
108Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
109Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
110Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
111Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
112Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
113Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
114Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
115ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
116Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
117Plasma enhanced atomic layer deposition of gallium sulfide thin films
118Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
119High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
120Plasma enhanced atomic layer deposition of Ga2O3 thin films
121Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
122Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
123Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
124Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
125A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
126Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
127Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
128Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
129Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors