H2, Hydrogen, CAS# 1333-74-0

Where to buy

NumberVendorRegionLink
1Proton OnSite🇺🇸Benchtop Hydrogen Generators - 200 to 600cc/min

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 448 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
2TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
3Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
4Radical Enhanced Atomic Layer Deposition of Metals and Oxides
5Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
6Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
7Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
8Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
9Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
10Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
11Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
12Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
13Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition
14Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
15Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
16Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
17Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
18Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization
19Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
20Effective work function tunability and interfacial reactions with underlying HfO2 layer of plasma-enhanced atomic layer deposited TaCxNy films
21Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
22Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
23A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
24Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
25Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
26Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
27High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
28Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
29Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
30Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
31Radical Enhanced Atomic Layer Deposition of Metals and Oxides
32Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
33Gadolinium nitride films deposited using a PEALD based process
34Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
35Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
36A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
37Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
38WS2 transistors on 300 mm wafers with BEOL compatibility
39TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
40Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
41Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
42Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
43Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
44Plasma-enhanced atomic layer deposition of tungsten nitride
45Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
46Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
47The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
48Radical Enhanced Atomic Layer Deposition of Metals and Oxides
49Fabrication and deformation of three-dimensional hollow ceramic nanostructures
50Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
51Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
52Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
53Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
54Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
55Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
56Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
57The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
58In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
59Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
60Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
61Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
62Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
63Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
64Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
65Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
66Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
67Atomic layer epitaxy of germanium
68Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
69P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
70Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
71Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
72ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
73Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
74Radical Enhanced Atomic Layer Deposition of Metals and Oxides
75The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
76Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
77Study on the characteristics of aluminum thin films prepared by atomic layer deposition
78Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
79High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
80Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
81Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
82Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
83Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
84Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
85Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
86The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
87Nanowire single-photon detectors made of atomic layer-deposited niobium nitride
88Tuning size and coverage of Pd nanoparticles using atomic layer deposition
89Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
90Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
91Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
92AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
93Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma
94Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
95A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
96Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
97High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
98Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
99Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
100Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
101Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
102GeSbTe deposition for the PRAM application
103In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
104Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
105Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
106Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
107Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
108GeSbTe deposition for the PRAM application
109Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
110Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
111Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
112Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
113Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
114Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
115Formation of aluminum nitride thin films as gate dielectrics on Si(100)
116Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
117Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
118Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
119Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
120Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
121Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
122AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
123Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
124Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition
125GeSbTe deposition for the PRAM application
126Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
127Nitride memristors
128Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
129Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
130The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
131Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
132Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
133Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
134Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
135Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
136A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
137Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD
138New materials for memristive switching
139Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
140Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
141Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
142Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
143High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
144Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
145Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
146Atmospheric pressure plasma enhanced spatial ALD of silver
147Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
148Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
149Structural and optical characterization of low-temperature ALD crystalline AlN
150Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
151Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
152Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
153Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
154Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
155Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
156Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
157Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
158Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
159Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
160Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
161Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
162Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
163Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
164Atomic layer epitaxy for quantum well nitride-based devices
165Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
166Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
167Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
168Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
169Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
170Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
171Copper-ALD Seed Layer as an Enabler for Device Scaling
172High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
173Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
174Plasma-enhanced atomic layer deposition of Co on metal surfaces
175In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
176Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
177Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
178Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
179XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
180Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
181Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
182Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
183Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
184Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
185Atomic layer epitaxy for quantum well nitride-based devices
186Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
187Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
188Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
189Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
190Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
191HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
192Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
193Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
194The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
195In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
196Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
197Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
198High performance graphene field effect transistors on an aluminum nitride substrate with high surface phonon energy
199Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
200Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
201Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
202Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
203Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy
204A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
205PEALD of Copper using New Precursors for Next Generation of Interconnections
206Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
207Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
208Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
209Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
210Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
211Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
212Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
213AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
214A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
215Optical emission spectroscopy of gallium phosphide plasma-enhanced atomic layer deposition
216Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
217Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
218Highly-Conformal Amorphous W-Si-N Thin Films by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier for Cu Metallization
219Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
220Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
221Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
222PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
223Sub-nanometer heating depth of atomic layer annealing
224Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
225Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
226Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
227Plasma-Enhanced Atomic Layer Deposition of Ni
228Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
229Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
230Plasma-enhanced atomic layer deposition of superconducting niobium nitride
231P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
232Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
233Self-limiting diamond growth from alternating CFx and H fluxes
234Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
235Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
236Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
237Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
238Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
239Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
240Atomic hydrogen-assisted ALE of germanium
241Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
242Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
243Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
244Plasma-Assisted Atomic Layer Deposition of Palladium
245Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
246Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
247Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
248Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
249Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
250Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
251Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
252Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
253Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
254Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
255Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
256HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
257In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
258In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
259Atomic layer epitaxy of Si using atomic H
260Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
261A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
262Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
263A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
264Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
265Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
266Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
267Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
268Atomic layer epitaxy for quantum well nitride-based devices
269Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
270Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
271Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
272Film Uniformity in Atomic Layer Deposition
273Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
274Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
275The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
276Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
277Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
278Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications
279Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
280Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
281Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
282Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
283Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
284Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
285Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
286Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
287Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
288Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
289Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
290Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
291Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
292Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
293Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
294Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
295Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
296Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
297Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
298Trilayer Tunnel Selectors for Memristor Memory Cells
299Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen
300Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
301Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
302Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
303Radical Enhanced Atomic Layer Deposition of Metals and Oxides
304Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
305Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
306Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
307Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
308Remote Plasma ALD of Platinum and Platinum Oxide Films
309Radical Enhanced Atomic Layer Deposition of Metals and Oxides
310Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
311Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
312Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
313Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
314Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
315Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
316Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
317Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
318Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
319Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
320New materials for memristive switching
321Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
322Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
323Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
324The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
325In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
326Room-Temperature Atomic Layer Deposition of Platinum
327Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
328Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
329Atomic Layer Deposition of Nanolayered Carbon Films
330Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
331In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
332Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
333Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
334ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
335New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
336Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
337Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
338Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
339Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
340GeSbTe deposition for the PRAM application
341Bipolar resistive switching properties of AlN films deposited by plasma-enhanced atomic layer deposition
342Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
343Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
344Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
345Microwave properties of superconducting atomic-layer deposited TiN films
346Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
347Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
348P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
349Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
350Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
351Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
352Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
353Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
354Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
355Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
356Radical Enhanced Atomic Layer Deposition of Metals and Oxides
357Nitride memristors
358Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
359Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
360Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
361Titanium Oxynitride Interlayer to Influence Oxygen Reduction Reaction Activity and Corrosion Stability of Pt and Pt-Ni Alloy
362Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
363Radical Enhanced Atomic Layer Deposition of Metals and Oxides
364In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
365Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
366Sub-10-nm ferroelectric Gd-doped HfO2 layers
367Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
368Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
369Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
370Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
371Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
372Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
373Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
374Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
375Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H2-plasma
376Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
377Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
378Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
379Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
380A route to low temperature growth of single crystal GaN on sapphire
381Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
382In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
383Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
384Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
385Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
386AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
387Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
388Plasma enhanced atomic layer deposition of aluminum sulfide thin films
389Radical Enhanced Atomic Layer Deposition of Metals and Oxides
390Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
391Performance of Samples with Novel SRF Materials and Growth Techniques
392Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
393Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
394Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
395Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
396GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
397Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
398Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
399Evaluation of plasma parameters on PEALD deposited TaCN
400Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
401Atomic Layer Deposition of Niobium Nitride from Different Precursors
402Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
403Hydrogen plasma-enhanced atomic layer deposition of copper thin films
404Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
405Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
406Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
407The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
408Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates