Resistivity, Sheet Resistance Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Resistivity, Sheet Resistance returned 264 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
2Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
3Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
4Copper-ALD Seed Layer as an Enabler for Device Scaling
5High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
6Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
7Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
8Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
9Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
10Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
11Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
12Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
13Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
14The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
15Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
16Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
17Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
18Plasma-Enhanced Atomic Layer Deposition of Ni
19High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
20Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
21Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
22Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure
23Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
24Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
25Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
26Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
27Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
28Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
29Atomic layer deposition of titanium nitride from TDMAT precursor
30Atmospheric pressure plasma enhanced spatial ALD of silver
31Formation of Ru nanocrystals by plasma enhanced atomic layer deposition for nonvolatile memory applications
32Nanowire single-photon detectors made of atomic layer-deposited niobium nitride
33Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
34Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
35Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
36Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
37Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
38Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
39WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
40Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
41Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
42Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
43Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
44Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
45Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
46Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
47Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
48Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
49Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
50Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
51Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
52Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
53Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
54Plasma-enhanced atomic layer deposition of tungsten nitride
55Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
56Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
57Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
58Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
59Plasma-enhanced atomic layer deposition of superconducting niobium nitride
60Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
61Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
62Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
63Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
64Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
65Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
66Plasma-Modified Atomic Layer Deposition
67Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
68In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
69Film Uniformity in Atomic Layer Deposition
70Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
71Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
72Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
73A route to low temperature growth of single crystal GaN on sapphire
74The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
75Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
76Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
77Electrical and Corrosion Properties of Titanium Aluminum Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
78Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
79Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
80Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
81Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
82Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
83Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
84Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
85A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
86Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
87Barrier Characteristics of ZrN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Using Tetrakis(diethylamino)zirconium Precursor
88Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
89High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
90The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
91Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
92Fully CMOS-compatible titanium nitride nanoantennas
93Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
94Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
95Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
96Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
97Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
98Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
99Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
100Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
101Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
102Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
103Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
104Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
105Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
106Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
107Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
108Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
109Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
110Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
111Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
112Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
113Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
114Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
115Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
116Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
117Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
118Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
119Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
120Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
121Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
122The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
123Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
124Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
125Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
126Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
127TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
128Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
129Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
130A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
131Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
132Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
133Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
134Atomic Layer Deposition of the Conductive Delafossite PtCoO2
135Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
136Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
137Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
138Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
139Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
140Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
141Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
142Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
143Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
144Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
145Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
146Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
147ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
148Plasma-enhanced atomic layer deposition of titanium vanadium nitride
149Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
150In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
151Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
152Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
153Microwave properties of superconducting atomic-layer deposited TiN films
154Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
155Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
156Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
157Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
158Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
159Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
160Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
161Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
162Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
163Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
164Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
165High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
166Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
167Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
168Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
169Study on the characteristics of aluminum thin films prepared by atomic layer deposition
170Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
171A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
172The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
173PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
174Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
175Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
176Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
177Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
178Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
179Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
180Room-Temperature Atomic Layer Deposition of Platinum
181The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
182Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
183Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
184Atomic layer deposition of titanium nitride for quantum circuits
185GeSbTe deposition for the PRAM application
186In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
187Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
188Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
189Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
190Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
191Energy transformation of plasmonic photocatalytic oxidation on 1D quantum well of platinum thin film
192Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
193Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
194Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
195Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
196Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
197PEALD of Copper using New Precursors for Next Generation of Interconnections
198Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
199Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
200Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
201Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
202Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
203Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
204Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
205Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
206All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
207Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
208Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
209Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
210Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
211Hydrogen plasma-enhanced atomic layer deposition of copper thin films
212In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
213A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
214Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
215Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
216Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
217Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
218Evaluation of plasma parameters on PEALD deposited TaCN
219Ru thin film grown on TaN by plasma enhanced atomic layer deposition
220Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
221Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
222Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
223Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
224Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
225Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
226Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects
227Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
228Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
229Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
230Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
231Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
232Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
233Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition
234Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
235Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
236Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
237Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
238Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
239TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
240Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
241Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
242Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
243Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
244Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
245Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
246Plasma-enhanced atomic layer deposition of vanadium nitride
247Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
248Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
249Remote Plasma ALD of Platinum and Platinum Oxide Films
250Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
251Plasma-enhanced atomic layer deposition of Co on metal surfaces
252Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
253Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
254Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
255Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
256Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
257Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
258Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
259Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
260Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
261Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
262Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
263Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer