Conformality, Step Coverage Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Conformality, Step Coverage returned 129 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
2Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
3Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
4Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
5Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
6Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
7Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
8Very high frequency plasma reactant for atomic layer deposition
9ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
10Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
11Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
12An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
13A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
14A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
15Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
16Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
17Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
18Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
19Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
20Plasma enhanced atomic layer deposition of aluminum sulfide thin films
21Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
22Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
23Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
24Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
25Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
26Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
27Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
28Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
29Atomic Layer Deposition of the Conductive Delafossite PtCoO2
30Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
31Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
32Copper-ALD Seed Layer as an Enabler for Device Scaling
33Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
34Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
35Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
36Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
37Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
38NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
39Plasma enhanced atomic layer deposition of SiNx:H and SiO2
40Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
41Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
42Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
43Plasma enhanced atomic layer deposition of gallium sulfide thin films
44Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
45Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
46Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
47Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
48Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
49Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
50Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
51High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
52Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
53Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
54Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
55Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
56Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
57Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
58Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
59Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
60Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
61Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
62Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
63Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
64Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
65Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
66ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
67Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
68Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
69Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
70Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
71Hydrogen plasma-enhanced atomic layer deposition of copper thin films
72Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
73Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
74Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
75Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
76Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
77Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
78Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
79Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
80Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
81Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
82Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
83Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
84Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
85Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
86Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
87Plasma enhanced atomic layer deposition of Ga2O3 thin films
88Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
89Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
90Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
91Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
92Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
93Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
94Plasma Enhanced Atomic Layer Deposition on Powders
95Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
96Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
97Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
98Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
99Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
100Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
101Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
102Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
103Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
104Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
105Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
106Topographically selective deposition
107Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
108Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
109The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
110Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
111High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
112Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
113Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
114Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
115Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
116Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
117Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
118Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
119Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
120Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
121In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
122Study on the characteristics of aluminum thin films prepared by atomic layer deposition
123Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
124Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
125Plasma enhanced atomic layer deposition of zinc sulfide thin films
126Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
127Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
128Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
129Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)