Veeco - Ultratech - Cambridge NanoTech Fiji Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications using Veeco - Ultratech - Cambridge NanoTech Fiji hardware returned 263 records. If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
2Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
3AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
4Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
5Atomic Layer Deposition of the Solid Electrolyte LiPON
6Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
7Design and development of nanoimprint-enabled structures for molecular motor devices
8Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
9Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
10Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
11Atomic layer deposition of titanium nitride for quantum circuits
12Plasma-enhanced atomic layer deposition of vanadium nitride
13Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
14AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
15Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
16Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
17DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
18Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
19Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
20Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
21Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
22Programmable on-chip DNA compartments as artificial cells
23ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
24A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
25Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
26Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
27Annealing behavior of ferroelectric Si-doped HfO2 thin films
28Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
29Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
30Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
31Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
32Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
33Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
34Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
35Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
36Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
37Investigation of AlGaN/GaN HEMTs Passivated by AlN Films Grown by Atomic Layer Epitaxy
38Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
39Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
40Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
41Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
42ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
43Single-Cell Photonic Nanocavity Probes
44Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
45Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
46The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
47Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
48Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
49Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
50Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
51Impact of interface materials on side permeation in indirect encapsulation of organic electronics
52Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
53Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
54Band alignment of Al2O3 with (-201) β-Ga2O3
55Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
56Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
57Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
58Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
59Sub-7-nm textured ZrO2 with giant ferroelectricity
60Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
61Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
62Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
63Biofilm prevention on cochlear implants
64Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
65Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
66Atomic layer deposition of metal-oxide thin films on cellulose fibers
67Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
68Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
69An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
70Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
71Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
72Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
73Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
74Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
75Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
76Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
77Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
78Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
79Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
80Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
81Sub-nanometer heating depth of atomic layer annealing
82Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
83Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
84Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
85Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
86Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
87Damage free Ar ion plasma surface treatment on In0.53Ga0.47As-on-silicon metal-oxide-semiconductor device
88Performance of Samples with Novel SRF Materials and Growth Techniques
89Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
90Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
91On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
92Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
93GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
94Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
95AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
96Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
97Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
98Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
99Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
100A fully integrated electronic platform for multiplexed intermolecular force spectroscopy
101Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
102Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
103Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
104Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
105Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
106Impact of degradable nanowires on long-term brain tissue responses
107Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
108Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
109Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
110Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
111Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
112Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
113Comparison of passivation layers for AlGaN/GaN high electron mobility transistors
114Lithium-Iron (III) Fluoride Battery with Double Surface Protection
115Plasma-enhanced atomic layer deposition of titanium vanadium nitride
116Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
117Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
118The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
119Fiber-matrix interface reinforcement using Atomic Layer Deposition
120Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
121Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
122Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
123Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
124Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
125Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
126High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
127Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
128Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
129Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
130Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
131In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
132ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
133Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
134Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
135Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
136Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
137Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
138Atomic layer deposition of GaN at low temperatures
139Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
140Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
141Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
142Passivation effects of atomic-layer-deposited aluminum oxide
143Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
144Plasma-enhanced atomic layer deposition of tungsten nitride
145Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
146Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
147Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
148Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
149P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
150Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
151Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
152Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
153Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
154Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
155The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
156Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
157Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
158Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
159Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
160Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
161Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
162A high-density carbon fiber neural recording array technology
163TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
164ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
165Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
166Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
167Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
168Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
169The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
170Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
171Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
172Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
173Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
174Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
175Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
176In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
177Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
178AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
179Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
180Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
181Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
182Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
183Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
184Plasma-enhanced atomic layer deposition of superconducting niobium nitride
185Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
186Fully CMOS-compatible titanium nitride nanoantennas
187Self-Limiting Growth of GaN at Low Temperatures
188Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
189Atomic layer epitaxy for quantum well nitride-based devices
190Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
191The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
192Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
193ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
194Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
195Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
196Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
197Damage evaluation in graphene underlying atomic layer deposition dielectrics
198Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
199Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
200Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
201Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
202The effects of layering in ferroelectric Si-doped HfO2 thin films
203Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
204Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
205Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
206Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
207The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
208Mechanical properties of thin-film Parylene-metal-Parylene devices
209Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
210Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
211New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
212Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
213Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
214Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
215Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
216Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
217Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
218Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
219Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
220Propagating gene expression fronts in a one-dimensional coupled system of artificial cells
221High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
222Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
223Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
224Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
225In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
226Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
227Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
228Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
229Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
230Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
231A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
232Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
233Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
234Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
235Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
236Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
237Perspectives on future directions in III-N semiconductor research