The database currently has 1700 entries.

Search database by: Number in database
Films 211
Precursors and Plasma Gases 283
Deposition Hardware 80
Film and Plasma Characteristics 255
Theses 96
Authors 5236

Use Multifactor Search when basic searches produce too many results and for searching by additional criteria not available from the above links (author, author affiliation, analysis technique, deposition temperature, etc.)



Introduction

Below, basic introductions to ALD and plasma enhanced ALD are provided.

Atomic Layer Deposition

ALD is a vapor phase thin film deposition technique that has gained considerable popularity in recent years. The following list of ALD benefits goes a long way towards explaining the broad popularity of the technique.

  1. Wide range of materials available including oxides, nitrides, sulfides, fluorides, metals, ternaries, quaternaries, chalcogenides, etc. See the excellent ALD Periodic Table from www.atomiclimits.com for a nice visual depiction of all the materials that have been reported by ALD. This work is licensed under a Creative Commons Attribution 4.0 International License.
  2. Digital film thickness control with Angstrom level resolution.
  3. Excellent film uniformity even over large substrate sizes.
  4. Relatively low deposition temperatures with some processes available at room temperature allowing deposition on temperature sensitive substrates such as polymers and other organic films.
  5. Moderate vacuum levels with some processes available at atmospheric pressure.
  6. Conformal coatings on high aspect ratio topographies.
  7. Excellent film adhesion to underlying substrates.
  8. Ability to mix different film chemistries to gain the advantages of doping, alloys, and laminates.
  9. Relatively simple hardware compared to other thin film deposition techniques.

ALD Applications

Early interest in ALD was widely driven by semiconductor applications, particularly high-k materials for DRAM capacitors and transistor gate dielectrics. These continue to be active areas of research and the acceptance of ALD in the fab has led to ALD being studied for inclusion in other parts of the semiconductor device structure such as electrode metals, diffusion barriers, and seed layers. Recent years have seen the spread of ALD films into a broad spectrum of applications outside of the "traditional" semiconductor space. A non-exhaustive list would include:

  • Solar
  • Catalysis
  • Batteries
  • Fuel Cells
  • Encapsulation
  • Energy Storage
  • Power Electronics
  • Optical Devices
  • Thermoelectrics
  • Medical Devices

Thermal ALD Example

ALD is typically carried out in a heated reactor that is being maintained at a sub-atmospheric pressure through the application of a vacuum pump and a controlled flow of inert gas, such as nitrogen, N2. Substrates to be coated with an ALD film are placed in the reactor and allowed to equilibrate with the reactor temperature before the ALD process is started. A typical ALD cycle consists of four steps. Below the four steps of the ALD cycle are described using the deposition of Al2O3 with TriMethylAluminum (Al(CH3)3, TMA) and water (H2O) as an example.


Step 0: Substrate Surface

At the beginning of the cycle, before the substrate is exposed to the first precursor, the substrate surface is covered with hydroxyl (-OH) groups.


Step 1: Precursor A Pulse

Typically a metal containing volatile precursor is introduced to the reactor. Precursor A reacts with active sites on the substrate surface. Precursor A does not react with itself in the gas phase or with the A chemisorbed to the substrate surface. This self-limiting process is key to several of the desirable ALD film properties such as conformal coating of high aspect ratio features, pinhole free films, and digital growth control.

For the TMA + H2O → Al2O3 process, methyl groups on the TMA react with surface hydroxyl groups leading to strong, oxygen-aluminum bonds with methane given off as a reaction product. Sufficient TMA is introduced to the reactor to react with all available hydroxyl groups. Some hydroxyl groups will go unreacted due to steric interference from TMA chemisorbed at adjacent sites.

Al(CH3)3 (gas) + m -OH (surface) → -Om-Al(CH3)3-m)) (surface) + m CH4 (gas)


Step 2: Precursor A Purge

The carrier gas is given time to remove excess precursor A and reaction products from the reactor. If the ALD co-reactant is introduced to the reactor before all of precursor A is removed, gas phase CVD reactions will take place.

For our Al2O3 example, the first purge step removes any excess TMA and CH4 reaction product from the reactor prior to the introduction of the co-reactant. If the co-reactant (H2O) and TMA are both in the gas phase, they will react to form Al2O3 via CVD-type reactions which will deposit onto the substrate in a non-self-limiting fashion negatively impacting the desirable traits of the ALD films.


After the Precursor A Purge

After all of the precursor A and reaction products have been purged, the substrate is prepared to be exposed to the co-reactant. Not every active site will be utilized due to the steric hindrance of precursor ligands on adjacent sites. In our example, the methyl groups on the chemisorbed TMA may physically block another TMA from reacting with an adjacent -OH group. ALD films tend to grow at rates substantially below the true monolayer thickness for a given material.

Processes utilizing bulkier precursors will have slower growth per cycle rates compared to processes using physically smaller precursors because the bulkier precursor ligands block more active sites.


Step 3 (thermal ALD): Co-reactant Pulse

A co-reactant is introduced to the reactor which reacts with chemisorbed precursor A, again in a self-limiting manner, to provide the desired film. Precursor B will also leave the surface prepared to react with another pulse of precursor A.

For the TMA + H2O → Al2O3 process, H2O reacts with methyl groups on the chemisorbed TMA releasing methane and leaving the surface covered in hydroxyl groups, just as was seen prior to the initial TMA pulse. Sufficient H2O is introduced to the reactor to react with all available methyl groups.

-Al(CH3)n (surface) + n H2O (gas) → -Al(OH)n (surface) + n CH4 (gas)

A variety of molecules have been investigated as ALD co-reactants. Commonly used co-reactants include: O3, H2O2, O2, NH3, and H2S


Step 4: Co-reactant Purge

Purge, to remove excess precursor B and reaction products from the reactor. Gas phase reactions will lead to CVD-like behavior, so there should be no precursor B in the gas phase when precursor B is introduced to the reactor.

For our Al2O3 example, the second purge step removes any excess H2O and CH4 reaction product from the reactor prior to the introduction of the TMA. If the co-reactant (H2O) and TMA are both in the gas phase, they will react to form Al2O3 via CVD-type reactions which will deposit onto the substrate in a non-self-limiting fashion negatively impacting the desirable traits of the ALD films.

Compare this picture to the one from the beginning. Like the surface prior to the precursor A exposure, the surface is covered in hydroxyl groups, but now there is a new sub-monolayer of Al2O3 where the previous layer of hydroxyl groups was.


After the Co-reactant Purge

After step 4, the substrate surface looks just very similar to prior to step 1. In our Al2O3 example, after the water purge step is completed, the surface again is covered in hydroxyl groups, just as it had at the beginning, ready for the next pulse of TMA.

The hydroxyl groups may look tightly packed together and blocking each other, but that is due to the limitation of our simplified two dimensional representation of the process.


This basic form of ALD, in which molecular precursors react on the surface of a heated substrate, is often referred to as thermal ALD.

The above discussion is a two-dimensional simplification of the thermal TMA + H2O → Al2O3 process. In-depth surface measurements and modelling continue to provide insights into the exact growth mechanism of one of the simpler ALD films.

This sounds easy. But,

While the TMA/H2O process for Al2O3 appears rather simple, there are a lot of process details which impact a range of film properties. Hundreds of publications exist for the TMA/H2O ALD process for Al2O3 exploring these further process and film property details. Deposition conditions including temperature, gas flows, TMA and H2O dosing, precursor purity, pressure, substrate material and topography, and post-deposition anneal can impact important film properties such as deposition rate, dielectric constant, leakage current, breakdown voltage, barrier properties, film density, uniformity, etc. And this is for one of the best behaved and understood ALD processes.

The excellent film properties and broad operating window of the TMA/H2O process for Al2O3 are rarely realized for other ALD processes. Common issues which reduce the ease of use for other materials include:

  1. No precursor has been identified for the material of interest.
  2. Potential precursors exist but are insufficiently reactive with typical, thermal ALD co-reactants.
  3. Thermal ALD processes exist but produce unacceptable results at lower temperatures.

Increasing the reactivity of the ALD co-reactant is one route to addressing the above issues. Many early ALD researchers had their roots in semiconductor processing, which often involves the use of low temperature plasma processing for thin film etch and deposition applications. Plasmas are low pressure gases that have been partially ionized through application of an external power source. High energy electrons in these plasmas can be used to disassociate molecular feed gases into highly reactive radical fluxes (ie. O2 + e-→2O*). Plasmas enable adding lots of reactivity without adding lots of heat. Radicals are many times more reactive than molecular co-reactants and can improve the ALD process in several ways.


Step 3 (PEALD): O2 Plasma

If we replace the thermal ALD H2O pulse step with an O2 plasma step, a flux of O* radicals will be incident upon the substrate surface, reacting with the methyl groups in a combustion-like process.

O* + -CH3 → CO, CO2, H2O

The surface chemistry for the plasma process is not nearly as clean as that for the thermal ALD process. It is not obvious what should be the state of the substrate surface following the O2 plasma step as compared to following the H2O pulse step in the thermal ALD process. Surface analysis indicates that the surface of the depositing Al2O3 is again covered in hydroxyl groups, perhaps aided by the H2O produced by the combustion of the methyl groups.

What does the plasma step gain us?

Hardware to perform plasma ALD can be considerably more complex and expensive than thermal ALD. At a minimum, a plasma source is required with an RF power supply and additional gas flow controllers are needed. Higher pumping costs may be necessary as well. Due to the short lifetime of radicals, reactor design becomes more complicated to ensure uniform exposure of the substrate to the radical flux. Some of the benefits of going through the cost and effort to shift from thermal ALD to plasma ALD include:

  1. Lower temperature processing.
  2. Improved film properties due to more complete reaction with depositing film and precursor ligand removal.
  3. More film options as precursors un-reactive to molecular co-reactants become available.
  4. Reduced purge times, particularly at low temperatures where thermal co-reactants (ie. H2O) require long purge times.
  5. Reduced nucleation times.

Plasma or Radical? Enhanced or Assisted? Direct or Remote? Deposition or Epitaxy?

This modified ALD processing in which the co-reactant step is replaced with a plasma step can be traced back to the 1990's, but has exploded in popularity since the mid-2000's. Depending on who you ask and the details of hardware and processing conditions, this technique goes by various names.

Whether or not the process is called "Plasma" or "Radical" depends on if the substrate is in contact with the plasma or not. "Plasma" processes are sufficiently coupled to the plasma source that some amount of substrate ion bombardment is likely. "Radical" processes have the substrate sufficiently removed from the plasma source that substrate ion bombardment is very low and only the longer-lived radical flux is incident upon the substrate surface. Although there are different names, and they refer to subtly different processing conditions, it appears as if the research community has primarily settled on collectively referring to all these types of processing as PEALD.