O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
2Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
3The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
4Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
5Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
6Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
7Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
8Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
9Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
10Designing high performance precursors for atomic layer deposition of silicon oxide
11Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
12Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
13Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
14Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
15Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
16Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
17Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
18Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
19Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
20Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
21Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
22Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
23Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
24Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
25Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
26Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
27Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
28Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
29Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
30Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
31Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
32Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
33Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
34Improved understanding of recombination at the Si/Al2O3 interface
35A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
36Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
37Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
38Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
39Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
40Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
41Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
42MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
43Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
44Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
45The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
46Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
47Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
48Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
49Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
50The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
51Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
52Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
53Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
54On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
55Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
56Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
57Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
58The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
59Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
60Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
61Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
62Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
63Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
64Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
65Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
66In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
67Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
68Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
69Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
70Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
71Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
72The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
73Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
74Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
75Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
76Study on the resistive switching time of TiO2 thin films
77Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
78Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
79Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
80Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
81Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
82ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
83Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
84Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
85Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
86Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
87Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
88Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
89Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
90High-Reflective Coatings For Ground and Space Based Applications
91Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
92Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
93Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
94Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
95Sub-7-nm textured ZrO2 with giant ferroelectricity
96Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
97Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
98Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
99Symmetrical Al2O3-based passivation layers for p- and n-type silicon
100Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
101Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
102Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
103Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
104Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
105Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
106High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
107Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
108Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
109Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
110All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
111An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
112Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
113Optical display film as flexible and light trapping substrate for organic photovoltaics
114Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
115Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
116Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
117Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
118Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
119Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
120Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
121Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
122Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
123Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
124Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
125Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
126Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
127Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
128Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
129Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
130Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
131Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
132Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
133A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
134A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
135Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
136Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
137Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
138Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
139Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
140Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
141Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
142Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
143Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
144Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
145Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
146Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
147Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
148Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
149Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
150Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
151Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
152Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
153Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
154Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
155Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
156Band alignment of Al2O3 with (-201) β-Ga2O3
157Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
158Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
159Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
160Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
161Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
162Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
163Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
164Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
165Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
166Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
167Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
168Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
169Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
170Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
171TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
172Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
173Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
174Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
175Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
176Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
177Optical properties and bandgap evolution of ALD HfSiOx films
178Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
179Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
180Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
181Plasma-enhanced atomic layer deposition of zinc phosphate
182Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
183Lithium-Iron (III) Fluoride Battery with Double Surface Protection
184Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
185Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
186Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
187Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
188Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
189Lithium-Iron (III) Fluoride Battery with Double Surface Protection
190Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
191Plasma enhanced atomic layer deposition of Fe2O3 thin films
192Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
193Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
194Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
195Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
196Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
197Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
198Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
199The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
200Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
201Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
202Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
203Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
204Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
205Radical Enhanced Atomic Layer Deposition of Metals and Oxides
206Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
207Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
208Optical in situ monitoring of plasma-enhanced atomic layer deposition process
209Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
210Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
211Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
212Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
213Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
214In-gap states in titanium dioxide and oxynitride atomic layer deposited films
215Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
216Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
217Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
218TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
219Advances in the fabrication of graphene transistors on flexible substrates
220Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
221Charge effects of ultrafine FET with nanodot type floating gate
222Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
223Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
224PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
225Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
226Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
227Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
228Experimental verification of electro-refractive phase modulation in graphene
229Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
230Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
231AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
232In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
233Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
234Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
235Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
236Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
237Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
238Fiber-matrix interface reinforcement using Atomic Layer Deposition
239Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
240On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
241Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
242PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
243Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
244Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
245Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
246In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
247Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
248Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
249Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
250Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
251Tuning size and coverage of Pd nanoparticles using atomic layer deposition
252Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
253Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
254Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
255AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
256Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
257Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
258Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
259Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
260Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
261Optical properties and bandgap evolution of ALD HfSiOx films
262Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
263Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
264Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
265Biofilm prevention on cochlear implants
266Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
267Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
268Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
269Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
270Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
271Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
272Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
273Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
274Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
275Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
276Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
277Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
278Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
279Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
280Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
281Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
282Impact of interface materials on side permeation in indirect encapsulation of organic electronics
283Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
284Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
285Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
286MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
287Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
288Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
289Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
290Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
291Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
292Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
293Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
294Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
295Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
296Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
297Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
298An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
299Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
300Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
301Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
302Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
303DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
304Optical and Electrical Properties of AlxTi1-xO Films
305Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
306Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
307Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
308Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
309Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
310Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
311Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
312Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
313Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
314Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
315Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
316High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
317Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
318Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
319Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
320Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
321Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
322Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
323Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
324A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
325Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
326Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
327Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
328Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
329Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
330Hafnia and alumina on sulphur passivated germanium
331Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
332Radical Enhanced Atomic Layer Deposition of Metals and Oxides
333Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
334Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
335Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
336Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
337Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
338Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
339Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
340Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
341Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
342Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
343Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
344Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
345Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
346Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
347Very high frequency plasma reactant for atomic layer deposition
348Systematic efficiency study of line-doubled zone plates
349Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
350Lithium-Iron (III) Fluoride Battery with Double Surface Protection
351Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
352Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
353Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
354Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
355Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
356Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
357Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
358Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
359ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
360Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
361Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
362A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
363Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
364Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
365Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
366Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
367Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
368Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
369Densification of Thin Aluminum Oxide Films by Thermal Treatments
370Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
371Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
372Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
373Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
374Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
375Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
376Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
377Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
378High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
379Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
380Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
381Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
382HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
383Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
384Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
385Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
386Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
387Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
388Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
389Characteristics of HfO2 thin films grown by plasma atomic layer deposition
390Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
391Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
392Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
393Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
394On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
395Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
396Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
397On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
398Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
399Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
400Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
401Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
402Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
403Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
404Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
405Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
406Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
407Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
408Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
409Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
410Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
411Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
412Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
413Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
414Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
415Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
416Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
417Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
418Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
419Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
420Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
421In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
422α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
423Gate Insulator for High Mobility Oxide TFT
424Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
425TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
426Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
427Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
428Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
429Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
430Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
431Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
432Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
433Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
434Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
435Modal properties of a strip-loaded horizontal slot waveguide
436Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
437Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
438Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
439Radical Enhanced Atomic Layer Deposition of Metals and Oxides
440Damage evaluation in graphene underlying atomic layer deposition dielectrics
441Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
442Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
443Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
444Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
445Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
446Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
447Trapped charge densities in Al2O3-based silicon surface passivation layers
448Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
449Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
450Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
451Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
452Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
453Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
454Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
455Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
456Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
457Bipolar resistive switching in amorphous titanium oxide thin film
458A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
459Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
460Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
461Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
462Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
463Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
464Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
465Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
466Sub-10-nm ferroelectric Gd-doped HfO2 layers
467Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
468Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
469Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
470Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
471Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
472Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
473Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
474Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
475Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
476Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
477Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
478Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
479Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
480Energy-enhanced atomic layer deposition for more process and precursor versatility
481Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
482Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
483Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
484Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
485Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
486A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
487Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
488Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
489Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
490AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
491Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
492Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
493Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
494Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
495Flexible, light trapping substrates for organic photovoltaics
496Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
497Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
498Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
499Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
500Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
501Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
502Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
503Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
504XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
505Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
506Atomic layer deposition of metal-oxide thin films on cellulose fibers
507Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
508Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
509Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
510Remote Plasma ALD of Platinum and Platinum Oxide Films
511Flexible Memristive Memory Array on Plastic Substrates
512In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
513Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
514Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
5151D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
516Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
517Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
518Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
519High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
520Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
521Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
522Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
523Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
524Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
525Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
526The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
527Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
528Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
529Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
530Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
531Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
532Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
533Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
534Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
535Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
536On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
537Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
538Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
539Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
54046-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
541Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
542Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
543Top-down fabricated ZnO nanowire transistors for application in biosensors
544Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
545Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
546Growth of silica nanowires in vacuum
547Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
548Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
549Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
550Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
551Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
552Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
553Trilayer Tunnel Selectors for Memristor Memory Cells
554On the equilibrium concentration of boron-oxygen defects in crystalline silicon
555Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
556Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
557Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
558Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
559Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
560Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
561Innovative remote plasma source for atomic layer deposition for GaN devices
562On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
563Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
564Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
565Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
566Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
567Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
568Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
569Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
570ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
571PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
572Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
573Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
574Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
575Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
576Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
577The important role of water in growth of monolayer transition metal dichalcogenides
578Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
579Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
580Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
581Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
582Radical Enhanced Atomic Layer Deposition of Metals and Oxides
583Atomic layer deposition of YMnO3 thin films
584Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
585Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
586Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
587Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
588A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
589Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
590Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
591On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
592Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
593Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
594Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
595Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
596Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
597Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
598Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
599Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
600Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
601Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
602Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
603Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
604Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
605High-efficiency embedded transmission grating
606Atomic Layer Deposition of Gold Metal
607All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
608Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
609Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
610Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
611SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
612Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
613Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
614Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
615Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
616Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
617Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
618Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
619A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
620Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
621Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
622Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
623An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
624Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
625The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
626The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
627Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
628Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
629Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
630Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
631Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
632Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
633Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
634Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
635Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
636Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
637Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
638Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
639Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
640Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
641Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
642Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
643Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
644Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
645Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
646Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
647Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
648Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
649Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
650Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
651Dynamic tuning of plasmon resonance in the visible using graphene
652Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
653Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
654Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
655Low temperature temporal and spatial atomic layer deposition of TiO2 films
656Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
657Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
658Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
659Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
660Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
661Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
662Plasma-enhanced atomic layer deposition of BaTiO3
663Mechanical properties of thin-film Parylene-metal-Parylene devices
664Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
665PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
666Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
667Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
668In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
669Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
670Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
671Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
672Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
673Optimization of the Surface Structure on Black Silicon for Surface Passivation
674Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
675Propagation Effects in Carbon Nanoelectronics
676Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
677The important role of water in growth of monolayer transition metal dichalcogenides
678Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
679Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
680Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
681Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
682Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
683Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
684TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
685Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
686Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
687Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
688Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
689Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
690Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
691Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
692Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
693Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
694Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
695Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
696Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
697From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
698Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
699Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
700Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
701Spectroscopy and control of near-surface defects in conductive thin film ZnO
702Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
703Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
704Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
705Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
706Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
707Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
708Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
709ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
710Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
711Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
712Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
713Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
714Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
715Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
716Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
717Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
718Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
719Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
720Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
721Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
722Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
723Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
724Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
725Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
726N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
727Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
728Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
729Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
730Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
731Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
732Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
733Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
734ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
735Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
736Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
737Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
738Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
739Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
740Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
741Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
742Radical Enhanced Atomic Layer Deposition of Metals and Oxides
743Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
744Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
745Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
746The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
747Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
748Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
749Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
750Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
751The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
752Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
753Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
754Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
755Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
756Radical Enhanced Atomic Layer Deposition of Metals and Oxides
757Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
758Radical Enhanced Atomic Layer Deposition of Metals and Oxides
759Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
760Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
761Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
762Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
763Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
764Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
765Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
766A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
767Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
768Encapsulation method for atom probe tomography analysis of nanoparticles
769Capacitance spectroscopy of gate-defined electronic lattices
770Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
771Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
772Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
773Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
774Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
775Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
776Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
777The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
778Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
779A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
780Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
781In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
782Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
783Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
784Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
785High-Reflective Coatings For Ground and Space Based Applications
786Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
787Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
788Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
789Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
790Impact of interface materials on side permeation in indirect encapsulation of organic electronics
791Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
792Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
7933D structure evolution using metastable atomic layer deposition based on planar silver templates
794High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
795New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
796Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
797Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
798Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
799Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
800Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
801Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
802Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
803Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
804Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
805Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
806Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
807Remote Plasma ALD of Platinum and Platinum Oxide Films
808A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
809A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
810Surface and sensing properties of PE-ALD SnO2 thin film
811High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
812Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
813In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
814Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
815Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
816Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
817Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
818In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
819Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
820Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
821Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
822Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
823Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
824Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
825Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
826Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
827Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
828Residual stress study of thin films deposited by atomic layer deposition
829Method of Fabrication for Encapsulated Polarizing Resonant Gratings
830In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
831Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
832Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
833Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
834HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
835The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
836Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
837Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
838Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
839Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
840Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
841Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
842In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
843Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
844Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
845Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
846Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
847Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
848The effects of layering in ferroelectric Si-doped HfO2 thin films
849Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
850Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
851Atomic Layer Deposition of the Conductive Delafossite PtCoO2
852HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
853Plasma-enhanced atomic layer deposition of BaTiO3
854Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
855Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
856Energy-enhanced atomic layer deposition for more process and precursor versatility
857Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
858Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
859Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
860First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
861Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
862Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
863Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
864Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
865Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
866Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
867Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
868Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
869Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
870Epitaxial 1D electron transport layers for high-performance perovskite solar cells
871Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
872In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
873Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
874Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
875Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
876Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
877Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
878Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
879Patterned deposition by plasma enhanced spatial atomic layer deposition
880Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
881Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
882Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
883Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
884Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
885Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
886Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
887Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
888Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
889ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
890Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
891Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
892Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
893High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
894Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
895Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
896Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
897Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
898Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
899Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
900Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
901Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
902AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
903Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
904Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
905Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
906Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
907Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
908Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
909Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
910Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
911Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
912Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
913Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
914Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
915Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
916Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
917In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
918Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
919Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
920The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
921Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
922Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
923Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
924MANOS performance dependence on ALD Al2O3 oxidation source
925Optical and Electrical Properties of TixSi1-xOy Films
926Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
927Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
928Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
929Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
930Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
931Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
932Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
933Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
934The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
935Breakdown and Protection of ALD Moisture Barrier Thin Films
936Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
937Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
938Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
939Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
940Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
941Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
942Anti-stiction coating for mechanically tunable photonic crystal devices
943Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
944The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
945Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
946Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
947High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
948Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
949Highly efficient and bending durable perovskite solar cells: toward a wearable power source
950PEALD ZrO2 Films Deposition on TiN and Si Substrates
951Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
952Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
953Plasma enhanced atomic layer deposition of Ga2O3 thin films
954Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
955Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
956A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
957Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
958X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
959Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
960Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
961IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
962The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
963Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
964Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
965Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
966The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
967Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
968Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
969Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
970Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
971Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
972The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
973Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
974Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
975Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
976Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
977Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
978Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
979Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
980Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
981Passivation effects of atomic-layer-deposited aluminum oxide
982Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
983Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
984Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
985Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
986Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
987Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
988Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
989Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
990Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
991Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
992Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
993Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
994High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
995Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
996Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
997Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
998Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
999PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
1000Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
1001Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
1002Composite materials and nanoporous thin layers made by atomic layer deposition
1003Plasma-enhanced atomic layer deposition of BaTiO3
1004Residual stress study of thin films deposited by atomic layer deposition
1005Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
1006Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
1007Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
1008Annealing behavior of ferroelectric Si-doped HfO2 thin films
1009Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
1010Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
1011A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
1012Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
1013Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
1014Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
1015Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
1016Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
1017Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
1018Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
1019Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
1020Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
1021Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
1022Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
1023Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
1024Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
1025'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
1026Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
1027Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
1028Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
1029Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
1030Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
1031Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
1032Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
1033Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
1034Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
1035Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
1036Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
1037The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
1038Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1039Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1040Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1041Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
1042Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
1043Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
1044Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
1045Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
1046Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
1047Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
1048Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
1049Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
1050Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
1051Topographically selective deposition
1052Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
1053Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
1054Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
1055Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
1056Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1057High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
1058A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
1059Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
1060Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
1061Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
1062Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
1063Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
1064Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
1065Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
1066Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
1067Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
1068Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
1069Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
1070Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1071Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
1072Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
1073Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
1074DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
1075On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
1076Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
1077Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
1078Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
1079Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
1080Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
1081Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
1082Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
1083Transient characterization of the electroforming process in TiO2 based resistive switching devices
1084Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
1085The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
1086Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
1087Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
1088Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
1089Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
1090Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
1091Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
1092Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
1093Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
1094Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
1095High-efficiency embedded transmission grating
1096Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
1097Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
1098HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
1099Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1100Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
1101Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
1102Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
1103An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
1104Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
1105Room-Temperature Atomic Layer Deposition of Platinum
1106Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
1107Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
1108The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
1109Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
1110Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
1111Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1112The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
1113Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
1114Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
1115Breakdown and Protection of ALD Moisture Barrier Thin Films
1116Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
1117Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
1118Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
1119Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
1120Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
1121Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1122Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
1123Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
1124Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
1125Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1126Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
1127Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
1128Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
1129Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
1130Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
1131Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
1132Hafnia and alumina on sulphur passivated germanium
1133Damage evaluation in graphene underlying atomic layer deposition dielectrics
1134Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
1135Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
1136Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1137Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
1138Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
1139Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
1140Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
1141Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
1142Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
1143Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
1144Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
1145Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
1146Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
1147Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
1148(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
1149Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
1150Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
1151Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
1152Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
1153Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
1154Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
1155Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
1156Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
1157Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
1158Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
1159Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
1160'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
1161Optical properties and bandgap evolution of ALD HfSiOx films
1162Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
1163Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
1164Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
1165Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
1166Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
1167Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
1168Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
1169Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
1170Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
1171Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
1172Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
1173Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
1174Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
1175Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
1176Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
1177Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
1178Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
1179RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
1180Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
1181On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
1182Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
1183Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
1184XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
1185Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1186Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
1187Oxygen migration in TiO2-based higher-k gate stacks
1188Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
1189Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
1190Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
1191In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
1192The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
1193Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
1194Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
1195Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1196Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
1197Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
1198Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
1199Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
1200Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
1201Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
1202Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
1203Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
1204ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
1205Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
1206Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
1207Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
1208Energy-enhanced atomic layer deposition for more process and precursor versatility
1209Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
1210Comparative study of ALD SiO2 thin films for optical applications
1211Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
1212Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
1213Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
1214Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
1215Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
1216Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
1217High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
1218Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
1219Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
1220Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
1221Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
1222Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
1223Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
1224Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
1225Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
1226Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
1227Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
1228Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
1229Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
1230Single-Cell Photonic Nanocavity Probes
1231Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
1232Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1233High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
1234Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
1235Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
1236Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
1237Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1238Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation