O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
2Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
3Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
4Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
5Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
6Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
7Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
8Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
9Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
10Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
11Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
12Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
13Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
14Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
15Innovative remote plasma source for atomic layer deposition for GaN devices
16Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
17Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
18Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
19Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
20Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
21Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
22Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
23Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
24In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
25Damage evaluation in graphene underlying atomic layer deposition dielectrics
26Optical in situ monitoring of plasma-enhanced atomic layer deposition process
27Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
28Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
29Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
30Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
31Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
32Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
33Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
34Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
35Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
36Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
37Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
38Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
39Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
40Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
41Radical Enhanced Atomic Layer Deposition of Metals and Oxides
42Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
43Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
44Radical Enhanced Atomic Layer Deposition of Metals and Oxides
45Optical and Electrical Properties of TixSi1-xOy Films
46Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
47Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
48Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
49Hafnia and alumina on sulphur passivated germanium
50Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
51Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
52Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
53Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
54Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
55Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
56A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
57Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
58Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
59Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
60Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
61Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
62Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
63Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
64Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
65Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
66Flexible Memristive Memory Array on Plastic Substrates
67Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
68Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
69Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
70Trilayer Tunnel Selectors for Memristor Memory Cells
71Atomic layer deposition of YMnO3 thin films
72Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
73Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
74Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
75Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
76Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
77Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
78Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
79Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
80Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
81Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
82Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
83A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
84Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
85Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
86Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
87Room-Temperature Atomic Layer Deposition of Platinum
88Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
89Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
90Damage evaluation in graphene underlying atomic layer deposition dielectrics
91Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
92Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
93Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
94An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
95Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
96Optical properties and bandgap evolution of ALD HfSiOx films
9746-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
98Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
99Top-down fabricated ZnO nanowire transistors for application in biosensors
100A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
101In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
102Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
103Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
104Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
105The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
106Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
107Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
108Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
109Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
110Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
111Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
112The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
113Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
114TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
115Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
116Surface and sensing properties of PE-ALD SnO2 thin film
117Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
118Composite materials and nanoporous thin layers made by atomic layer deposition
119Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
120In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
121Experimental verification of electro-refractive phase modulation in graphene
122Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
123Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
124Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
125Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
126Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
127Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
128Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
129Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
130Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
131Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
132Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
133Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
134Symmetrical Al2O3-based passivation layers for p- and n-type silicon
135Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
136Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
137Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
138In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
139Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
140Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
141Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
142TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
143Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
144Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
145High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
146HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
147The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
148Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
149Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
150Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
151Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
152Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
153Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
154Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
155Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
156Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
157Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
158Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
159Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
160Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
161Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
162Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
163A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
164Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
165Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
166Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
167Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
168Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
169Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
170'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
171Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
172Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
173Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
174Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
175Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
176Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
177Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
178Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
179Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
180Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
181Breakdown and Protection of ALD Moisture Barrier Thin Films
182Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
183Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
184The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
185Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
186RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
187Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
188Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
189Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
190Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
191Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
192Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
193Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
194Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
195HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
196Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
197Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
198Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
199Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
200Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
201Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
202Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
203Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
204Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
205Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
206Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
207Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
208Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
209Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
210Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
211Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
212Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
213Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
214TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
215Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
216Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
217Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
218Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
219Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
220Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
221Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
222Atomic Layer Deposition of Gold Metal
223Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
224Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
225The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
226Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
227Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
228Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
229Tuning size and coverage of Pd nanoparticles using atomic layer deposition
230Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
231On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
232Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
233Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
234Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
235Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
236Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
237Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
238A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
239On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
240Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
241Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
242Densification of Thin Aluminum Oxide Films by Thermal Treatments
243Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
244Anti-stiction coating for mechanically tunable photonic crystal devices
245Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
246HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
247Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
248Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
249Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
250Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
251Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
252Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
253Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
254Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
255Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
256High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
257Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
258Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
259Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
260Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
261Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
262Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
263Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
264Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
265Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
266The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
267Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
268Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
269Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
270Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
271Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
272Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
273ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
274Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
275Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
276Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
277Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
278Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
279Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
280Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
281Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
282Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
283Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
284Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
285A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
286Advances in the fabrication of graphene transistors on flexible substrates
287ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
288An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
289Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
290Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
291Comparative study of ALD SiO2 thin films for optical applications
292In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
293Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
294Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
295Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
296Remote Plasma ALD of Platinum and Platinum Oxide Films
297Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
298Radical Enhanced Atomic Layer Deposition of Metals and Oxides
299Method of Fabrication for Encapsulated Polarizing Resonant Gratings
300Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
301Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
302Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
303Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
304Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
305Residual stress study of thin films deposited by atomic layer deposition
306Radical Enhanced Atomic Layer Deposition of Metals and Oxides
307Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
308Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
309Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
310Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
311Charge effects of ultrafine FET with nanodot type floating gate
312Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
313HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
314Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
315Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
316Propagation Effects in Carbon Nanoelectronics
317Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
318Energy-enhanced atomic layer deposition for more process and precursor versatility
319Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
320Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
321Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
322Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
323The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
324Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
325Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
326Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
327Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
328Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
329Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
330Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
331Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
332Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
333Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
334High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
335Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
336ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
337Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
338Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
339Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
340Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
341Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
342Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
343Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
344Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
345Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
346Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
347Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
348Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
349Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
350The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
351Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
352Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
353Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
354Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
355Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
356Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
357Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
358Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
359Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
360Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
361Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
362Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
363Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
364Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
365Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
366Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
367High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
368Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
369Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
370Optical properties and bandgap evolution of ALD HfSiOx films
371Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
372Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
373Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
374Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
375Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
376Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
377Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
378Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
379A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
380Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
381Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
382Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
383Oxygen migration in TiO2-based higher-k gate stacks
384Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
385Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
386Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
387High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
388Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
389A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
390Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
391Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
392Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
393Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
394Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
395Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
396Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
397Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
398Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
399Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
400Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
401Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
402Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
403Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
404Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
405Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
406Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
407A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
408Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
409Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
410Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
411Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
412Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
413Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
414Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
415Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
416Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
417Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
418Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
419Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
420Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
421Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
422Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
423Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
424Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
425Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
426Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
427Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
428Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
429In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
430Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
431High-Reflective Coatings For Ground and Space Based Applications
432Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
433Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
434Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
435Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
436Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
437Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
438Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
439Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
440Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
441Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
442Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
443Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
444Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
445Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
446Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
447Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
448Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
449Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
450An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
451Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
452Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
453Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
454Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
455Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
456Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
457Plasma enhanced atomic layer deposition of Fe2O3 thin films
458Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
459Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
460Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
461Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
462Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
463Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
464Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
465Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
466In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
467The important role of water in growth of monolayer transition metal dichalcogenides
468Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
469Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
470Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
471Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
472Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
473Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
474Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
475DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
476Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
477PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
478Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
479Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
480Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
481Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
482Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
483Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
484Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
485Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
486Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
487The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
488Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
489Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
490Encapsulation method for atom probe tomography analysis of nanoparticles
491Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
492Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
493Radical Enhanced Atomic Layer Deposition of Metals and Oxides
494Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
495Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
496Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
497Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
498Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
499Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
500Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
501Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
502On the equilibrium concentration of boron-oxygen defects in crystalline silicon
503Residual stress study of thin films deposited by atomic layer deposition
504On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
505Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
506High-efficiency embedded transmission grating
507Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
508Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
509Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
510Highly efficient and bending durable perovskite solar cells: toward a wearable power source
511In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
512Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
513Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
514Spectroscopy and control of near-surface defects in conductive thin film ZnO
515Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
516Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
517Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
518Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
519Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
520Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
521Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
522Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
523Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
524Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
525Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
526On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
527Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
528Radical Enhanced Atomic Layer Deposition of Metals and Oxides
529Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
530Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
531Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
532Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
533Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
534A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
535Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
536Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
537Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
538Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
539Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
540Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
541Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
542Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
543The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
544The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
545'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
546Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
547Plasma-enhanced atomic layer deposition of BaTiO3
548Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
549Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
550Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
551Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
552Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
553Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
554Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
555Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
556Optical properties and bandgap evolution of ALD HfSiOx films
557Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
558Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
559High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
560Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
561Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
562Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
563Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
564MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
565ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
566Optimization of the Surface Structure on Black Silicon for Surface Passivation
567Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
5683D structure evolution using metastable atomic layer deposition based on planar silver templates
569Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
570Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
571Biofilm prevention on cochlear implants
572Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
573Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
574Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
575Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
576Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
577Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
578Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
579Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
580Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
581Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
582Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
583Dynamic tuning of plasmon resonance in the visible using graphene
584Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
585Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
586Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
587Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
588Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
589Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
590Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
591Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
592Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
593Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
594Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
595Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
596Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
597A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
598Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
599In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
600Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
601Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
602Lithium-Iron (III) Fluoride Battery with Double Surface Protection
603Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
604Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
605Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
606Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
607Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
608Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
609Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
610Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
611High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
612High-efficiency embedded transmission grating
613Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
614Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
615Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
616Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
617Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
618Plasma enhanced atomic layer deposition of Ga2O3 thin films
619Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
620Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
621Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
622Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
623Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
624On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
625TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
626Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
627Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
628Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
629Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
630Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
631Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
632Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
633Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
634Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
635Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
636The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
637AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
638Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
639Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
640ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
641Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
642Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
643Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
644Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
645Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
646Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
647Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
648Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
649Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
650X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
651Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
652Impact of interface materials on side permeation in indirect encapsulation of organic electronics
653Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
654Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
655Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
656Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
657Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
658Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
659Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
660Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
661Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
662Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
663Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
664Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
665Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
666Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
667Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
668Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
669Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
670Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
671Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
672Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
673All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
674Breakdown and Protection of ALD Moisture Barrier Thin Films
675Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
676Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
677A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
678Very high frequency plasma reactant for atomic layer deposition
679Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
680Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
681Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
682Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
683Improved understanding of recombination at the Si/Al2O3 interface
684Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
685Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
686Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
687The effects of layering in ferroelectric Si-doped HfO2 thin films
688Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
689Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
690Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
691Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
692Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
693Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
694Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
695Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
696Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
697Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
698Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
699Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
700Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
701Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
702Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
703Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
704Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
705Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
706Epitaxial 1D electron transport layers for high-performance perovskite solar cells
707Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
708Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
709Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
710Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
711AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
712Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
713Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
714Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
715Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
716Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
717Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
718Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
719The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
720Bipolar resistive switching in amorphous titanium oxide thin film
721Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
722Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
723Modal properties of a strip-loaded horizontal slot waveguide
724Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
725Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
726Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
727Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
728Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
729Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
730Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
731Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
732Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
733Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
734Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
735Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
736Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
737Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
738Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
739Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
740A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
741Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
742PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
743Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
744Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
745Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
746The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
747Gate Insulator for High Mobility Oxide TFT
748Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
749Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
750Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
751Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
752Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
753Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
754Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
755Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
756Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
757ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
758Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
759Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
760Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
761Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
762Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
763Characteristics of HfO2 thin films grown by plasma atomic layer deposition
764Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
765Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
766Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
767Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
768Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
769Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
770Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
771Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
772Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
773Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
774Transient characterization of the electroforming process in TiO2 based resistive switching devices
775All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
776Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
777High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
778Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
779Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
780Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
781Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
782Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
783Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
784Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
785Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
786Trapped charge densities in Al2O3-based silicon surface passivation layers
787Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
788Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
789Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
790Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
791Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
792Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
793Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
794The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
795Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
796Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
797Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
798Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
799Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
800Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
801Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
802Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
803Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
804Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
805Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
806Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
807Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
808Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
809Plasma-enhanced atomic layer deposition of BaTiO3
810Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
811On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
812Mechanical properties of thin-film Parylene-metal-Parylene devices
813Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
814Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
815Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
816Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
817Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
818Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
819Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
820Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
821Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
822Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
823Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
824Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
825PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
826Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
827Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
828Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
829Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
830Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
831Optical display film as flexible and light trapping substrate for organic photovoltaics
832Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
833Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
834Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
835In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
836Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
837Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
838Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
839Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
840Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
841Band alignment of Al2O3 with (-201) β-Ga2O3
842Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
843Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
844Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
845Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
846Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
847Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
848Radical Enhanced Atomic Layer Deposition of Metals and Oxides
849Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
850Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
851Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
852Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
853Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
854The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
855Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
856Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
857Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
858Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
859Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
860Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
861Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
862Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
863Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
864Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
865The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
866High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
867Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
868Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
869Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
870Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
871Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
872New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
873Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
874On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
875Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
876Annealing behavior of ferroelectric Si-doped HfO2 thin films
877Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
878Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
879Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
880Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
881Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
882Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
883Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
884Low temperature temporal and spatial atomic layer deposition of TiO2 films
885Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
886Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
887In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
888Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
889Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
890Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
891Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
892Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
893Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
894Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
895Capacitance spectroscopy of gate-defined electronic lattices
896Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
897Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
898Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
899Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
900α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
901Single-Cell Photonic Nanocavity Probes
902Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
903Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
904Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
905Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
906Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
907Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
908Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
909Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
910Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
911Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
912Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
913Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
914Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
915Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
916Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
917Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
918Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
919Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
920Energy-enhanced atomic layer deposition for more process and precursor versatility
921Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
922Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
923Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
924Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
925Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
926Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
927Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
928Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
929Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
930Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
931The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
932DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
933Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
934N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
935Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
936Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
937Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
938Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
939A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
940The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
941Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
942ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
943Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
944Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
945Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
946Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
947Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
948Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
949XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
950Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
951Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
952Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
953Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
954Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
955Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
956Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
957Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
958Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
959Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
960Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
961On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
962Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
963Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
964Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
965Plasma-enhanced atomic layer deposition of zinc phosphate
966Plasma-enhanced atomic layer deposition of BaTiO3
967High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
9681D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
969Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
970Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
971Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
972Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
973Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
974Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
975Topographically selective deposition
976Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
977Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
978Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
979Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
980Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
981Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
982Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
983Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
984Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
985Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
986High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
987Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
988Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
989AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
990Sub-10-nm ferroelectric Gd-doped HfO2 layers
991Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
992Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
993Lithium-Iron (III) Fluoride Battery with Double Surface Protection
994Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
995Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
996Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
997Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
998Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
999Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
1000Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
1001Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
1002Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
1003Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
1004Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
1005Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
1006Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
1007Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
1008Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
1009Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
1010Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
1011MANOS performance dependence on ALD Al2O3 oxidation source
1012Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
1013Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
1014Growth of silica nanowires in vacuum
1015Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
1016Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
1017Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
1018Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
1019Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
1020Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
1021Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
1022Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
1023Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
1024Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
1025Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
1026Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
1027Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
1028Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
1029Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
1030MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
1031Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
1032Sub-7-nm textured ZrO2 with giant ferroelectricity
1033Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
1034Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
1035Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
1036Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
1037Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
1038Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
1039Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
1040Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1041Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
1042Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
1043Passivation effects of atomic-layer-deposited aluminum oxide
1044Impact of interface materials on side permeation in indirect encapsulation of organic electronics
1045Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
1046Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
1047Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
1048Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
1049Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
1050Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
1051PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
1052Energy-enhanced atomic layer deposition for more process and precursor versatility
1053Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
1054Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
1055Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
1056Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
1057Lithium-Iron (III) Fluoride Battery with Double Surface Protection
1058Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
1059Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
1060Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
1061XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
1062Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
1063Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
1064Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
1065Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
1066Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
1067Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
1068In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
1069Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
1070Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
1071Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
1072Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
1073Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
1074On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
1075Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
1076Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
1077Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
1078Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
1079Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
1080Patterned deposition by plasma enhanced spatial atomic layer deposition
1081Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
1082Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
1083Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
1084Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
1085Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
1086PEALD ZrO2 Films Deposition on TiN and Si Substrates
1087High-Reflective Coatings For Ground and Space Based Applications
1088Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1089Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
1090Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
1091Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
1092Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1093First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
1094Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
1095The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
1096Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
1097A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
1098Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
1099Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
1100Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
1101Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
1102Flexible, light trapping substrates for organic photovoltaics
1103Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
1104Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
1105Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
1106Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
1107A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
1108Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
1109High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
1110Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
1111Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
1112Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
1113Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
1114Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
1115Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
1116Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
1117Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
1118Hafnia and alumina on sulphur passivated germanium
1119Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
1120PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
1121Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
1122Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1123Optical and Electrical Properties of AlxTi1-xO Films
1124Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
1125Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
1126Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
1127Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
1128Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
1129Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
1130Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
1131Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
1132Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
1133Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
1134Fiber-matrix interface reinforcement using Atomic Layer Deposition
1135The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
1136Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
1137Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
1138Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
1139The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
1140Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
1141Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
1142Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
1143IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
1144From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
1145(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
1146Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
1147Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
1148Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
1149Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
1150Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
1151Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
1152Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
1153Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
1154Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
1155Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
1156In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
1157Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
1158Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
1159Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
1160Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
1161Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
1162Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
1163Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
1164Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
1165AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
1166Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
1167Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
1168SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
1169Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1170Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
1171Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
1172The important role of water in growth of monolayer transition metal dichalcogenides
1173Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
1174Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
1175In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1176Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
1177In-gap states in titanium dioxide and oxynitride atomic layer deposited films
1178Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
1179Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
1180Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1181Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
1182Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
1183Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
1184Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
1185Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
1186An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
1187Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
1188Atomic layer deposition of metal-oxide thin films on cellulose fibers
1189Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1190Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
1191Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
1192Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
1193The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
1194Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
1195Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
1196Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
1197The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
1198Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
1199Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
1200Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
1201Designing high performance precursors for atomic layer deposition of silicon oxide
1202Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
1203Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
1204Remote Plasma ALD of Platinum and Platinum Oxide Films
1205Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
1206Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
1207Systematic efficiency study of line-doubled zone plates
1208Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1209Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
1210Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
1211Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
1212Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
1213Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
1214Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
1215Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
1216Atomic Layer Deposition of the Conductive Delafossite PtCoO2
1217Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
1218Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
1219Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
1220Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
1221Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
1222Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
1223Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
1224Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
1225Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
1226Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
1227Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
1228Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1229Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
1230Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
1231Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
1232Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
1233Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
1234Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
1235Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
1236Study on the resistive switching time of TiO2 thin films
1237Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
1238Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique