Thickness Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Thickness returned 892 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
2Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
3Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
4Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
5Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
6Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
7Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
8Plasma-enhanced atomic layer deposition of BaTiO3
9Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
10Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
11Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
12Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
13Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
14PEALD of Copper using New Precursors for Next Generation of Interconnections
15Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
16Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
17Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
18Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
19Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
20Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
21Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
22Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
23Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
24Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
25Plasma enhanced atomic layer deposition of gallium sulfide thin films
26A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
27Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
28Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
29Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
30Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
31Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
32Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
33Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
34Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
353D structure evolution using metastable atomic layer deposition based on planar silver templates
36Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
37Room temperature atomic layer deposition of TiO2 on gold nanoparticles
38TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
39Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
40Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
41Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
42Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
43Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
44Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
45Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
46WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
47Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
48Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
49Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
50Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
51Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
52Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
53RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
54Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
55Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
56Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
57Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
58Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
59Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
60Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
61Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
62TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
63The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
64Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
65Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
66Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
67Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
68Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
69Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
70Composite materials and nanoporous thin layers made by atomic layer deposition
71Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
72Spectroscopy and control of near-surface defects in conductive thin film ZnO
73Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
74Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
75Atmospheric pressure plasma enhanced spatial ALD of silver
76Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
77Advances in the fabrication of graphene transistors on flexible substrates
78Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
79Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
80Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
81In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
82Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
83Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
84Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
85Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
86Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
87High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
88Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
89Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
90Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
91Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
92Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
93Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
94Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
95Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
96Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
97Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
98Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
99Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
100GeSbTe deposition for the PRAM application
101Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
102Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
103Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
104Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
105Self-Limiting Growth of GaN at Low Temperatures
106Structural and optical characterization of low-temperature ALD crystalline AlN
107Atomic layer epitaxy of germanium
108Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
109Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
110Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
111Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
112Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
113Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
114Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
115A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
116Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
117Atomic layer deposition of YMnO3 thin films
118Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
119Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
120Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
121Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
122Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
123Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
124Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
125Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
126Optical and Electrical Properties of TixSi1-xOy Films
127Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
128The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
129Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
130Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
131Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
132Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
133Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
134Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
135Experimental and theoretical determination of the role of ions in atomic layer annealing
136Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
137Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
138Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
139Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
140Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
141Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
142Plasma-enhanced atomic layer deposition of tungsten nitride
143PEALD AlN: controlling growth and film crystallinity
144Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
145Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
146Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
147Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
148Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
149Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
150Plasma enhanced atomic layer deposition of SiNx:H and SiO2
151Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
152Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
153Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
154Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
155Densification of Thin Aluminum Oxide Films by Thermal Treatments
156Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
157Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
158Plasma-enhanced atomic layer deposition of titanium vanadium nitride
159Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
160Microscopic origin of bipolar resistive switching of nanoscale titanium oxide thin films
161Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
162The effects of layering in ferroelectric Si-doped HfO2 thin films
163In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
164Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
165Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
166Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
167Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
168Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
169Plasma enhanced atomic layer deposition of aluminum sulfide thin films
170Plasma-Assisted Atomic Layer Deposition of Palladium
171Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
172Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
173Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
174Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
175Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
176Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
177Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
178Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
179A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
180Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
181Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
182Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
183Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
184Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
185Graphene-based MMIC process development and RF passives design
186Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
187Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
188Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
189Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
190Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
191Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
192Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
193The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
194Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
195Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
196Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
197Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
198Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
199Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
200New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
201Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
202Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
203Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
204Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
205Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
206Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
207Remote Plasma ALD of Platinum and Platinum Oxide Films
208In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
209Sub-7-nm textured ZrO2 with giant ferroelectricity
210Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
211HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
212Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
213Topographically selective deposition
214Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
215Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
216Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
217In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
218Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
219Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
220Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
221Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
222Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
223Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
224Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
225Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
226Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
227Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
228Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
229Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
230Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
231Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
232Hydrogen plasma-enhanced atomic layer deposition of copper thin films
233Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
234Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
235Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
236Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
237Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
238Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
239Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
240Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
241Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
242Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
243Electron-enhanced atomic layer deposition of silicon thin films at room temperature
244ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
245Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
246Tribological properties of thin films made by atomic layer deposition sliding against silicon
247Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
248Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
249Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
250Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
251Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
252The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
253Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
254Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
255Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
256Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
257A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
258Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
259Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
260Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
261Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
262AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
263Low temperature temporal and spatial atomic layer deposition of TiO2 films
264Atomic hydrogen-assisted ALE of germanium
265Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
266Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
267Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
268Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
269Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
270Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
271Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
272Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
273Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
274Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
275Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
276Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
277Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
278Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
279Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
280Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
281Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
282Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
283The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
284Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
285In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
286Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
287Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
288Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
289Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
290Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
291Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
292Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
293Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
294Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
295Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
296Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
297Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
298Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
299Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
300Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
301Damage evaluation in graphene underlying atomic layer deposition dielectrics
302Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
303Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
304Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
305Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
306Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
307In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
308Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
309Texture of atomic layer deposited ruthenium
310Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
311Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
312Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
313Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
314Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
315Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
316Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
317Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
318Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
319A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
320Atomic layer deposition of titanium nitride from TDMAT precursor
321ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
322Fast PEALD ZnO Thin-Film Transistor Circuits
323Atomic Layer Deposition of Niobium Nitride from Different Precursors
324Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
325Room-Temperature Atomic Layer Deposition of Platinum
326Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
327Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
328Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
329Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
330Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
331Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
332Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
333Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
334Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
335TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
336Microwave properties of superconducting atomic-layer deposited TiN films
337Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
338Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
339Atomic layer deposition of InN using trimethylindium and ammonia plasma
340Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
341Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
342Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
343Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
344Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
345Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
346Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
347Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
348Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
349Ru thin film grown on TaN by plasma enhanced atomic layer deposition
350Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
351The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
352Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
353Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
354Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
355Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
356Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
357Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
358Plasma-Enhanced Atomic Layer Deposition of Ni
359Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
360Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
361Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
362Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
363Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
364Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
365Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
366Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
367Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
368Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
369Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
370Plasma Enhanced Atomic Layer Deposition on Powders
371Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
372Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
373Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
374Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
375Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
376A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
377Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
378Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
379Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
380Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
381Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
382Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
383Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
384Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
385Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
386Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
387Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
388Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
389Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
390Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
391Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
392Atomic layer epitaxy of Si using atomic H
393Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
394Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
395Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
396Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
397Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
398Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
399Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
400Optimization of the Surface Structure on Black Silicon for Surface Passivation
401Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
402Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
403Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
404Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
405Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
406Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
407Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
408Plasma-enhanced atomic layer deposition of vanadium nitride
409Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
410Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
411Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
412A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
413Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
414Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
415Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
416Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
417Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
418Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
419Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
420Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
421In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
422Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
423ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
424Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
425Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
426ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
427The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
428Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
429Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
430Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
431Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
432Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
433Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
434Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
435Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
436High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
437Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
438Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
439Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
440Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
441Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
442Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
443Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
444Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
445Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
446Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
447Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
448Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
449Innovative remote plasma source for atomic layer deposition for GaN devices
450A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
451Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
452Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
453Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
454Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
455Atomic layer deposition of GaN at low temperatures
456Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
457Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
458In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
459Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
460Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
461PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
462Properties of AlN grown by plasma enhanced atomic layer deposition
463Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
464Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
465Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
466Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
467Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
468Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
469Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
470Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
471Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
472N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
473Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
474Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
475Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
476Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
477Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
478Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
479Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
480Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
481Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
482Analysis of nitrogen species in titanium oxynitride ALD films
483Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
484Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
485Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
486The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
487Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
488Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
489Comparative study of ALD SiO2 thin films for optical applications
490Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
491Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
492Crystalline growth of AlN thin films by atomic layer deposition
493Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
494Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
495Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
496Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
497Patterned deposition by plasma enhanced spatial atomic layer deposition
498Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
499Sub-nanometer heating depth of atomic layer annealing
500ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
501Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
502Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
503Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
504Atomic Layer Deposition of the Solid Electrolyte LiPON
505Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
506Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
507Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
508Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
509Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
510Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
511Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
512Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
513Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
514Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
515Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
516Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
517Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
518Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
519Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
520Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
521Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
522The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
523Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
524Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
525XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
526Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
527Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
528Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
529A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
530Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
531Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
532An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
533Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
534Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
535Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
536Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
537Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
538Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
539Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
540Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
541Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
542Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
543Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
544Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
545Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
546ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
547Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
548Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
549Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
550Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
551Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
552PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
553A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
554Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
555Plasma-enhanced atomic layer deposition of superconducting niobium nitride
556Perspectives on future directions in III-N semiconductor research
557Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
558Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
559Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
560Gallium nitride thin films by microwave plasma-assisted ALD
561Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
562Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
563Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
564Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
565PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
566AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
567Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
568Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
569Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
570Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
571Optical and Electrical Properties of AlxTi1-xO Films
572High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
573Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
574A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
575Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
576A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
577Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
578Thin film GaP for solar cell application
579Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
580Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
581Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
582Modal properties of a strip-loaded horizontal slot waveguide
583Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
584Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
585Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
586Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
587The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
588Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
589Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
590Impact of interface materials on side permeation in indirect encapsulation of organic electronics
591Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
592Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
593Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
594Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
595Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
596Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
597Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
598Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
599Sub-10-nm ferroelectric Gd-doped HfO2 layers
600Symmetrical Al2O3-based passivation layers for p- and n-type silicon
601Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
602Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
603Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
604Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
605Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
606Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
607High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
608Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
609Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
610Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
611Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
612Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
613Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
614Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
615Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
616Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
617Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
618Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
619Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
620Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
621Plasma enhanced atomic layer deposition of Fe2O3 thin films
622Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
623MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
624Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
625Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
626Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
627Evaluation of plasma parameters on PEALD deposited TaCN
628Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
629Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
630Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
631Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
632Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
633Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
634Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
635Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
636Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
637Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
638Plasma enhanced atomic layer deposition of zinc sulfide thin films
639XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
640Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
641Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
642TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
643Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
644Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
645Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
646Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
647Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
648On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
649An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
650A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
651Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
652Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
653Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
654Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
655Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
656Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
657Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
658Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
659Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
660Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
661Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
662Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
663Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
664Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
665Challenges in spacer process development for leading-edge high-k metal gate technology
666The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
667Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
668Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
669Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
670The important role of water in growth of monolayer transition metal dichalcogenides
671Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
672Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
673Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
674Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
675Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
676Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
677Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
678Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
679Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
680The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
681Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
682Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
683Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
684Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
685Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
686Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
687Characteristics of HfO2 thin films grown by plasma atomic layer deposition
688Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
689Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
690Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
691GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
692Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
693High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
694Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
695AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
696Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
697Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
698Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
699Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
700Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
701The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
702Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
703Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
704Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
705Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
706Gadolinium nitride films deposited using a PEALD based process
707Low temperature plasma enhanced deposition of GaP films on Si substrate
708Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
709Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
710Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
711Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
712Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
713Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
714Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
715Layer-by-layer epitaxial growth of GaN at low temperatures
716Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
717Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
718Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
719Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
720Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
721Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
722Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
723Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
724Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
725Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
726Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
727Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
728Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
729In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
730GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
731Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
732GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
733Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
734Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
735Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
736Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
737An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
738Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
739A route to low temperature growth of single crystal GaN on sapphire
740Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
741Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
742Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
743Atomic layer epitaxy for quantum well nitride-based devices
744Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
745Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
746Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
747A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
748RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
749Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
750Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
751Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
752Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
753Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
754High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
755Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
756Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
757Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
758The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
759Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
760α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
761Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
762Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
763Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
764Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
765Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
766Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
767Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
768Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
769Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
770Copper-ALD Seed Layer as an Enabler for Device Scaling
771Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
772Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
773PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
774Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
775Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
776Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
777Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
778Carbon content control of silicon oxycarbide film with methane containing plasma
779ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
780Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
781Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
782Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
783Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
784Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
785Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
786Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
787Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
788Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
789In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
790In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
791The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
792Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
793Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
794Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
795Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
796Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
797Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
798SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition
799Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
800In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
801Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
802Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
803Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
804Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
805Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
806Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
807Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
808Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
809Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
810Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
811Plasma-enhanced ALD system for SRF cavity
812Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
813Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
814Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
815Self-limiting diamond growth from alternating CFx and H fluxes
816Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
817Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
818Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
819Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
820PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
821Plasma-enhanced atomic layer deposition for plasmonic TiN
822Study on the characteristics of aluminum thin films prepared by atomic layer deposition
823Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
824Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
825Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
826Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
827Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
828Plasma-enhanced atomic layer deposition of zinc phosphate
829Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
830Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
831Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
832Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
833Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
834Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
835Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
836Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
837Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
838Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
839Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
840Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
841Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
842Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
843Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
844Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
845Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
846Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
847Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
848Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
849Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
850Plasma enhanced atomic layer deposition of Ga2O3 thin films
851High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
852Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
853In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
854Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
855Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
856Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
857DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
858Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
859Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
860Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
861Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
862Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
863Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
864Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
865Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
866Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
867Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
868Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
869Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
870Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
871Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
872Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor