Morphology, Roughness, Topography Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Morphology, Roughness, Topography returned 484 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Oxygen migration in TiO2-based higher-k gate stacks
2Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
3Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
4Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
5Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
6Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
7Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
8Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
9Study on the characteristics of aluminum thin films prepared by atomic layer deposition
10Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
11Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
12Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
13Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
14Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
15Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
16Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
17Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
18Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant
19Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
20Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
21Sub-nanometer heating depth of atomic layer annealing
22Properties of AlN grown by plasma enhanced atomic layer deposition
23Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
24Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
25Experimental and theoretical determination of the role of ions in atomic layer annealing
26Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
27ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
28Remote Plasma ALD of Platinum and Platinum Oxide Films
29Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
30Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
31Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
32Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
33Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
34Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
35Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
36Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
37AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
38Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
39Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
40Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
41Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
42Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
43Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
44Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
45Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
46Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
47Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
48Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
49Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
50Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
51Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
52Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
53Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
54Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
55High-Reflective Coatings For Ground and Space Based Applications
56Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
57Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
58Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
59Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
60Advances in the fabrication of graphene transistors on flexible substrates
61SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
62Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
63The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
64Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
65Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
66High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
67The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
68Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
69Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
70Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
71Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
72Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
73Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
74Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
75Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
76Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
77Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
78Very high frequency plasma reactant for atomic layer deposition
79Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
80Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
81Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
82Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
83Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
84Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
85Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
86Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
87Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
88Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
89Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
90Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
91Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
92In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
93Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
94Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
95Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
96Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
97Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
98Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
99Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
100Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
101Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
102Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
103Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
104Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
105Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
106Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
107Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
108Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
109Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
110Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
111Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
112WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
113Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
114Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
115Improved understanding of recombination at the Si/Al2O3 interface
116Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
117Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
118Room-Temperature Atomic Layer Deposition of Platinum
119Fast PEALD ZnO Thin-Film Transistor Circuits
120The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
121Plasma-enhanced atomic layer deposition of BaTiO3
122Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
123Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
124Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
125Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
126Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
127Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
128Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
129Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
130An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
131Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
132Plasma enhanced atomic layer deposition of gallium sulfide thin films
133Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
134Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
135Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
136Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
137Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
138Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
139In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
140Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
141The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
142The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
143Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
144Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
145Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
146Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
147Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
148Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
149Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
150Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
151Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
152Comparative study of ALD SiO2 thin films for optical applications
153Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
154Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
155Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
156A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
157TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
158Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
159Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
160Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
161Tuning size and coverage of Pd nanoparticles using atomic layer deposition
162Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
163Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
164MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
165Biofilm prevention on cochlear implants
166Layer-by-layer epitaxial growth of GaN at low temperatures
167Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
168Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
169Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
170Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
171Structural and optical characterization of low-temperature ALD crystalline AlN
172Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
173Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
174Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
175Hydrogen plasma-enhanced atomic layer deposition of copper thin films
176Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
177Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
178Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
179Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
180Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
181Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
182Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
183Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
184Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
185Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
186Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
187Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
188In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
189Plasma-Assisted Atomic Layer Deposition of Palladium
190Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
191Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
192Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
193Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
194Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
195Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
196Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
197Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
198Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
199Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
200Plasma enhanced atomic layer deposition of Fe2O3 thin films
201Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
202Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
203Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
204Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
205Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
206Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
207Atomic layer deposition of GaN at low temperatures
208Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
209XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
210Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
211Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
212Crystalline growth of AlN thin films by atomic layer deposition
213Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
214Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
215Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
216Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
217Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
218Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
219Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
220The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
221Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
222Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
223Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
224Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
225Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
226Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
227Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
228Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
229Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
230Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
231Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
232Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
233Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
234An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
235Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
236Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
237Sub-7-nm textured ZrO2 with giant ferroelectricity
238Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
239Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
240Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
241Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
242Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
243Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
244From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
245Atomic layer deposition of InN using trimethylindium and ammonia plasma
246Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
247A route to low temperature growth of single crystal GaN on sapphire
248Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
249Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
250Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
251Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
252Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
253Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
254Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
255Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
256Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
257Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
258Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
259Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
260Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
261Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
262Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
263Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
264Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
265ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
266Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
267Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
268Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
269Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
270Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
271Plasma enhanced atomic layer deposition of zinc sulfide thin films
272Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
273Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
274Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
275Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
276Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
277Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
278A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
279Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
280Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
281Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
282Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
283Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
284Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
285Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
286Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
287Atomic layer epitaxy of Si using atomic H
288Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
289Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
290Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
291Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
292Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
293Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
294The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
295PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
296Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
297Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
298Atomic Layer Deposition of Gold Metal
299Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
300Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
301Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
302Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
303Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
304Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
305Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
306Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
307Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
308Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
309Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
310Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
311A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
312Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
313Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
314A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
315Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
316Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
317The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
318Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
319Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
320A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
321Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
322(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
323Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
324Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
325Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
326Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
327Atomic Layer Deposition of the Solid Electrolyte LiPON
328The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
329PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
330Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
331Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
332Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
333Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
334Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
335Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
336Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
337Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
338Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
339Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
340Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
341Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
342Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
343Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
344Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
345Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
346Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
347Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
348Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
349Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
350Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
351Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
352New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
353AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
354Breakdown and Protection of ALD Moisture Barrier Thin Films
355Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
356Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
357Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
358Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
359Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
360In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
361Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
362Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
363Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
364Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
365Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
366Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
367Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
368Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
369Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
370Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
371Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
372Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
373Low temperature plasma enhanced deposition of GaP films on Si substrate
374Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
375Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
376Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
377Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
378Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
379Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
380The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
381Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
382Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
383Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
384Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
385Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
386Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
387Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
388Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
389Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
390ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
391A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
392Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
393Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
394Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
395Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
396Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
397High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
398Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
399Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
400Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
401Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
402Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
403A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
404Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
405Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
406Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
407Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
408Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
409Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
410Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
411Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
412In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
413Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
414High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
415Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
416Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
417Atomic hydrogen-assisted ALE of germanium
418Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
419Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
420The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
421Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
422Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
423Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
424Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
425Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
426Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
427Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
428Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
429Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
430Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
431Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
432Copper-ALD Seed Layer as an Enabler for Device Scaling
433A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
434Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
435Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
436Thin film GaP for solar cell application
437Evaluation of plasma parameters on PEALD deposited TaCN
438Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
439PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
440Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
441Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
442Plasma-enhanced ALD system for SRF cavity
443Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
444Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
445Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
446Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
447Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
448Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
449ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
450Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
451Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
452Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
453Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
454Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
455Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
456Densification of Thin Aluminum Oxide Films by Thermal Treatments
457Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
458Plasma enhanced atomic layer deposition of Ga2O3 thin films
459Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
460Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
461Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
462Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
463Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
464Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
465Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
466Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
467Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents