Leakage Current Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Leakage Current returned 220 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
2Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
3Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
4Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
5MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
6Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
7Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
8A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
9AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
10Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
11Annealing behavior of ferroelectric Si-doped HfO2 thin films
12Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
13Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
14Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
15Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
16Atomic Layer Deposition of Al2O3 Thin Films for Metal Insulator Semiconductor Applications on 4H-SiC
17Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
18Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
19Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
20Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects
21Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
22Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
23PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
24Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
25Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
26Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
27Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
28Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
29Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
30Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
31Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
32Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
33In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
34Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
35Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
36Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
37Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
38Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
39Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
40Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
41Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
42Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
43Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
44Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
45Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
46Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
47Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
48Experimental and theoretical determination of the role of ions in atomic layer annealing
49Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
50Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
51Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
52Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
53Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
54Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
55Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
56Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
57High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
58In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
59Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
60Post Plasma Oxidation Processed ALD Al2O3/Hf1-xZrxO2 Thin Films on Ge Substrates: Reliability
61Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
62Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
63Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
64Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
65Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
66Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
67Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
68Fast Flexible Plastic Substrate ZnO Circuits
69Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
70Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
71Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
72Electronic Conduction Mechanisms in Insulators
73A Silicon Nitride MIM Capacitor for Analog/Mixed-Signal Integrated Circuit using Manufacturable Atomic Layer Deposition Equipment
74Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
75Optical and Electrical Properties of AlxTi1-xO Films
76Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
77Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
78Flexible insulator of hollow SiO2 spheres and polyimide hybrid for flexible OLED
79Oxygen migration in TiO2-based higher-k gate stacks
80Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
81The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer
82Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
83Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
84Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
85Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
86Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
87Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
88Innovative remote plasma source for atomic layer deposition for GaN devices
89CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories
90A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
91Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
92Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
93Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
94Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
95High-Voltage and Low-Leakage-Current Gate Recessed Normally-Off GaN MIS-HEMTs With Dual Gate Insulator Employing PEALD-SiNx/RF-Sputtered-HfO2
96Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
97Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
98An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
99Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
100A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
101Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
102Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
103High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
104Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
105Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
106Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
107Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
108Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
109Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
110Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
111Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
112Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
113Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
114Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
115Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
116Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
117Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
118The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
119In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
120Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
121Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
122Plasma-enhanced atomic layer deposition of BaTiO3
123MANOS performance dependence on ALD Al2O3 oxidation source
124Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
125ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
126Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
127In-gap states in titanium dioxide and oxynitride atomic layer deposited films
128The effects of plasma treatment on the thermal stability of HfO2 thin films
129Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
130Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
131Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
132The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
133Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
134Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
135ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
136Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
137Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
138Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
139Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
140Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
141Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
142Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN
143Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
144TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
145Advances in the fabrication of graphene transistors on flexible substrates
146Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
147Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
148HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
149Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
150Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
151Three dimensional ALD of TiO2 for in-vivo biomedical sensor applications
152Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
153Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
154Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
155Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
156Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
157Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
158In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
159Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
160Very high frequency plasma reactant for atomic layer deposition
161Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
162The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
163Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
164Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
165Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
166Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
167Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
168Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
169Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
170Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
171Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
172Improvement of Vth Instability in Normally-Off GaN MIS-HEMTs Employing PEALD-SiNx as an Interfacial Layer
173Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
174Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
175Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
176Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
177Evaluation of NbN thin films grown by MOCVD and plasma-enhanced ALD for gate electrode application in high-k/SiO2 gate stacks
178Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
179Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
180Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
181Carbon content control of silicon oxycarbide film with methane containing plasma
182PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
183A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
184Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
185Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
186High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
187Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
188Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
189Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
190Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
191Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
192Sub-nanometer heating depth of atomic layer annealing
193Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
194AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
195Combined plasma-enhanced-atomic-layer-deposition gate dielectric and in situ SiN cap layer for reduced threshold voltage shift and dynamic ON-resistance dispersion of AlGaN/GaN high electron mobility transistors on 200 mm Si substrates
196Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
197High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
198Fast PEALD ZnO Thin-Film Transistor Circuits
199Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
200Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
201Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
202Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
203Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
204Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
205Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
206Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
207Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
208Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
209High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
210Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
211Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
212Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
213Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
214Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
215The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
216An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
217Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
218Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
219Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
220Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition