Crystallinity, Crystal Structure, Grain Size, Atomic Structure Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Crystallinity, Crystal Structure, Grain Size, Atomic Structure returned 601 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1High-Reflective Coatings For Ground and Space Based Applications
2Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
3Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
4Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
5In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
6Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
7Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
8Fast PEALD ZnO Thin-Film Transistor Circuits
9Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
10Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
11Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
12Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
13Plasma enhanced atomic layer deposition of gallium sulfide thin films
14Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
15Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
16Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
17Evaluation of plasma parameters on PEALD deposited TaCN
18Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
19Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
20Plasma-enhanced atomic layer deposition of gallium nitride thin films on fluorine-doped tin oxide glass substrate for future photovoltaic application
21Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
22Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
23Texture of atomic layer deposited ruthenium
24Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
25The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
26Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
27Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
28Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
29Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
30Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
31The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
32Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
33Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
34Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
35A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
36Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
37Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
38Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
39Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
40Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
41Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
42Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
43Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
44Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
45Properties of AlN grown by plasma enhanced atomic layer deposition
46Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
47Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
48Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
49Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
50HfO2 Thin Film Deposited by Remote Plasma Atomic Layer Deposition Method
51Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
52Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
53Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
54Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
55Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
56Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
57Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
58Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
59Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride
60Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
61Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
62Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
63Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
64Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
65Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
66Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
67Plasma-Modified Atomic Layer Deposition
68Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
69Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
70Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
71Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
72Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
73Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
74Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
75Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
76Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
77Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
78In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
79Sub-nanometer heating depth of atomic layer annealing
80In Situ Synchrotron X-Ray Diffraction Analysis of Phase Transformation in Epitaxial Metastable hcp Nickel Thin Films, Prepared via Plasma-Enhanced Atomic Layer Deposition
81Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
82Plasma enhanced atomic layer deposition of aluminum sulfide thin films
83Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
84Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
85Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
86TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
87Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
88Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
89Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
90Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
91Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
92Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
93Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
94Plasma-enhanced atomic layer deposition of BaTiO3
95New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
96Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
97Plasma-enhanced atomic layer deposition of titanium vanadium nitride
98Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
99Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
100Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
101Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
102Fully CMOS-compatible titanium nitride nanoantennas
103Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
104Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
105Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
106Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
107Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
108Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
109Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
110Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
111Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
112Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
113Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
114Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
115Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
116Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
117Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
118Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
119Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
120Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
121Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
122Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
123Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
124Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
125Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
126A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
127Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
128Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition
129Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
130Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
131Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
132Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
133Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
134Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
135Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
136Direct epitaxial nanometer-thin InN of high structural quality on 4H-SiC by atomic layer deposition
137Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
138Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
139Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
140Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
141Plasma enhanced atomic layer deposition of Fe2O3 thin films
142Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
143Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
144Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
145Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
146Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
147Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
148Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
149Perspectives on future directions in III-N semiconductor research
150Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
151Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
152Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
153Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
154Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
155Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
156Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
157Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
158The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
159Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
160Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
161In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
162Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
163Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
164Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
165Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
166Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
167In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
168Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
169Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
170Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
171Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
172Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
173Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
174Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
175Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
176Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
177Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
178Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
179Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
180Remote Plasma ALD of Platinum and Platinum Oxide Films
181Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
182Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
183Low temperature plasma enhanced deposition of GaP films on Si substrate
184Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
185Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
186Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
187Electrodynamic response and local tunneling spectroscopy of strongly disordered superconducting TiN films
188Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
189Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
190Sub-7-nm textured ZrO2 with giant ferroelectricity
191Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
192Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
193Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
194Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
195Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
196Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
197Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
198ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
199Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
200Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
201Thin film GaP for solar cell application
202Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
203PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
204Atomic layer epitaxy of Si using atomic H
205Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
206Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
207Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
208Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
209Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
210Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
211Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
212The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
213Formation of aluminum nitride thin films as gate dielectrics on Si(100)
214Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
215Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
216In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
217Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
218Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
219Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
220Atomic layer deposition of GaN at low temperatures
221Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
222Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
223Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
224Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
225Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
226Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
227Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
228Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
229Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
230The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
231Crystalline growth of AlN thin films by atomic layer deposition
232The effects of layering in ferroelectric Si-doped HfO2 thin films
233Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
234Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
235A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
236Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
237Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
238Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
239SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
240Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
241Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation
242Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
243From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
244Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
245Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
246Sub-10-nm ferroelectric Gd-doped HfO2 layers
247Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
248Atomic layer deposition of YMnO3 thin films
249Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
250Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
251Experimental and theoretical determination of the role of ions in atomic layer annealing
252Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
253Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
254Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
255Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
256Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
257Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
258Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
259Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
260Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
261Plasma-enhanced atomic layer deposition of superconducting niobium nitride
262Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
263Island Coalescence during Film Growth: An Underestimated Limitation of Cu ALD
264A route to low temperature growth of single crystal GaN on sapphire
265WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
266Microstructure and Interfaces of Ultra-Thin Epitaxial AlN Films Grown by Plasma-Enhanced Atomic Layer Deposition at Relatively Low Temperatures
267Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
268Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
269Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
270Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
271Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
272Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
273Fabrication and properties of AlN film on GaN substrate by using remote plasma atomic layer deposition method
274Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
275P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
276Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
277Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
278Room-Temperature Atomic Layer Deposition of Platinum
279High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
280Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
281Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
282Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
283TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
284Trilayer Tunnel Selectors for Memristor Memory Cells
285Structural and optical characterization of low-temperature ALD crystalline AlN
286Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
287Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
288PEALD AlN: controlling growth and film crystallinity
289PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
290Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
291Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
292Atomic Layer Deposition of the Conductive Delafossite PtCoO2
293Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
294Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
295Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
296Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
297Nitride memristors
298Gallium nitride thin films by microwave plasma-assisted ALD
299Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
300Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
301Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
302HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
303Characteristics of HfO2 thin films grown by plasma atomic layer deposition
304Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
305Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
306PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
307Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
308Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
309Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
310A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
311Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
312Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
313Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
314Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
315Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
316Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
317Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
318A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
319Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
320ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
321Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
322Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
323Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
324Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
325Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
326Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
327Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
328Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
329Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
330Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
331Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
332Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
333Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
334Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
335Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
336Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
337Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
338Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
339α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
340Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
341Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
342Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
343Atomic hydrogen-assisted ALE of germanium
344Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
345Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
346Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
347Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
348Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
349Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
350High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
351Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
352Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
353Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
354Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
355Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
356The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
357Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
358Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
359Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
360Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
361Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
362Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
363Plasma enhanced atomic layer deposition of Ga2O3 thin films
364Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
365Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
366Properties of plasma-enhanced atomic layer deposited TiCx films as a diffusion barrier for Cu metallization
367Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
368Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
369Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
370Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
371Ru thin film grown on TaN by plasma enhanced atomic layer deposition
372Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
373Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
374Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
375Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
376TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
377Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
378Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
379Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
380Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
381Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
382Characteristics of HfN films deposited by using remote plasma-enhanced atomic layer deposition
383Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
384Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
385Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
386AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
387Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
388Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
389Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
390Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
391Atomic layer deposition of metal-oxide thin films on cellulose fibers
392Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
393Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
394Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
395Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
396Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
397TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
398Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
399Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
400Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
4013D structure evolution using metastable atomic layer deposition based on planar silver templates
402X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
403Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
404Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
405Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
406CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories
407Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
408Wafer-scale, conformal and direct growth of MoS2 thin films by atomic layer deposition
409Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
410Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
411Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
412Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
413Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
414Comparative study of ALD SiO2 thin films for optical applications
415ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
416Atomic layer deposition of InN using trimethylindium and ammonia plasma
417Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
418Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
419A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
420A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
421Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
422Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
423High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
424Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
425Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
426Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
427Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
428Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
429Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
430Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
431Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
432Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
433Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
434The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
435High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
436Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
437Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
438Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
439HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
440Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
441Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
442Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
443Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
444Densification of Thin Aluminum Oxide Films by Thermal Treatments
445Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
446Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
447Plasma enhanced atomic layer deposition of zinc sulfide thin films
448The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
449The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
450Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
451Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
452Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
453Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
454Study on the characteristics of aluminum thin films prepared by atomic layer deposition
455Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
456Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
457Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
458Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design
459Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
460Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
461Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
462Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
463Superconducting Characteristics of NbN Films Deposited by Atomic Layer Deposition
464NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
465Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
466Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
467Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
468Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
469Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
470Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
471In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
472Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
473Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
474Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
475Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
476Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
477Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
478Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
479Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
480Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
481Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
482Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
483Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
484Electron-enhanced atomic layer deposition of silicon thin films at room temperature
485Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
486Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
487Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
488The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
489Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
490Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
491Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
492Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
493A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
494Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
495Si atomic layer epitaxy based on Si2H6 and remote He plasma bombardment
496Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
497The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
498Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
499Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
500Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
501Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
502Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
503Oxygen migration in TiO2-based higher-k gate stacks
504Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
505The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
506Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
507Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
508ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
509Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
510Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
511The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
512Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
513Plasma-Enhanced Atomic Layer Deposition of Ni
514Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
515Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
516Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
517Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
518Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
519Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
520Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
521Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
522Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
523Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
524Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
525Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
526Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
527High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
528High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
529Tribological properties of thin films made by atomic layer deposition sliding against silicon
530Plasma-enhanced atomic layer deposition of vanadium nitride
531Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
532Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
533Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
534Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
535An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
536Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
537Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
538AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
539Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
540Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
541Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
542Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
543Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
544Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
545Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
546Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
547Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
548Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
549Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
550Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
551Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
552Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
553Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
554Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
555Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
556Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
557Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
558Plasma-Assisted Atomic Layer Deposition of Palladium
559Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
560Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
561Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
562Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
563Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
564Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition
565Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
566GeSbTe deposition for the PRAM application
567Atomic layer deposition of titanium nitride for quantum circuits
568Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
569A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
570Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
571Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
572Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
573Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
574Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
575Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
576Layer-by-layer epitaxial growth of GaN at low temperatures
577In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
578Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
579Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
580Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co